• 解决办法:把mif文件放在根目录下,和文件夹db同一级,File name就会直接显示这个文件名字
  • 总结主要问题应该还是出在文件路径
  • 主要参考这篇博客 FPGA的ROM-IP核配置问题 https://blog.csdn.net/weixin_41059920/article/details/89841835
  • 参考博客 modelsim仿真ROM IP核没有输出数据问题如何解决https://blog.csdn.net/weixin_44939178/article/details/111928005

  • 参考博客编写代码测试 ROM IP核的使用(Verilog) https://blog.csdn.net/WJC1997/article/details/118994133

  • 顶层模块 ROM,v

module ROM(clk, rst_n, q);input clk;input rst_n;output [7:0] q;wire [7:0]addr;//控制地址变化addr_ctrl addr_ctrl_dut(.clk(clk), .rst_n(rst_n), .addr(addr));//IP核:ROM rom_8x256 my_rom_dut(.address(addr),.clock(clk),.q(q));endmodule
  • 地址模块
module addr_ctrl(clk, rst_n, addr);input clk;input rst_n;output reg [7:0] addr;      //控制ROM的地址变化(0~255)always@ (posedge clk,negedge rst_n)beginif(rst_n == 1'b0)addr <= 8'd0;else if(addr <= 8'd255)addr <= addr + 8'd1;elseaddr <= 8'd0;end
endmodule
  • 添加仿真文件tb_ROM.v进行测试
`timescale 1ns/1nsmodule tb_ROM();reg clk;
reg rst_n;wire [7:0] q;initial beginclk = 1'b1;rst_n <= 1'b0;#10rst_n <= 1'b1;endalways #10 clk = ~clk;ROM ROM_inst
(.clk(clk),.rst_n(rst_n),.q(q)
);endmodule
  • 存在两个触发器,数据出现比地址出现晚了 2 个时钟周期


  • 踩坑记录点

  • 没有altera_mf文件仿真库,在modelsim中确实没看见这个仿真库,但有alter_mf_ver应该就行了

  • 我在这个安装目录下看到是有这个文件的 \17.1\quartus\eda\sim_lib\altera_mf.v

  • 看mif文件是否加载进来可以直接点击Memory List选项卡,双击查看是否有数据

  • 我试了这个改路径方法不太行 modelsim仿真ROM IP核遇到无法读取mif文件的解决方法 https://blog.csdn.net/huhangf362/article/details/86539478

  • 查看rom_8x256文件可以看出mif文件在project文件夹中

  • 另外修改IP核的方法,把选项卡切到IP Components双击IP核即可

modelsim仿真ROM IP数据输出为0的解决办法相关推荐

  1. Zabbix故障但是没有错误日志输出的一种解决办法

    Zabbix故障发生时没有错误日志输出,可能是由于日志级别不够,但是现网环境又不宜Debug全开,可以对zabbix服务器端的子进程提升日志级别. 操作方法如下:以子进程「Ping检查器(icmp p ...

  2. 串口发数据到android数据错误,51单片机通过蓝牙串口模块发送JSON数据给安卓手机故障解决办法...

    原标题:51单片机通过蓝牙串口模块发送JSON数据给安卓手机故障解决办法 JSON(Java Object Notation, JS 对象简谱) 是一种轻量级的数据交换格式.它基于 ECMA (欧洲计 ...

  3. 对于stm32F103芯片读AT24C512得到的数据全是0xFF的解决办法

    对于stm32F103芯片读AT24C512得到的数据全是0xFF的解决办法 由于项目需要,将正点原子开发板的at24c02换成了at24c512,结果读取数据全是0xFF,经过网上的资料发现是读的驱 ...

  4. 关于vue项目本地localhost可以正常访问项目,ip却无法访问项目的解决办法

    关于vue项目本地localhost可以正常访问项目,ip却无法访问项目的解决办法 在进行本地页面调试的时候,地址栏可以输入两种地址来访问页面 http://localhost:8080 http:/ ...

  5. IE缓存导致数据不能实时更新的解决办法

    查看全文 http://www.taodudu.cc/news/show-5902634.html 相关文章: IE缓存设置问题 清除IE缓存 关于IE缓存所带来的数据不能实时更新的解决办法 解决ie ...

  6. C# 导出 Excel 数字列出现‘0’的解决办法

    在DataGird的中某一列全是数字并且长度大于15的字符,在导出excel时数字列第15-18位全部为0. 解决办法:在需导出数字列前加入英文字符状态的单引号(' ), 如: <asp:Tem ...

  7. HTTP中ip地址伪造的问题以及解决办法

    HTTP中ip地址伪造的问题以及解决办法 参考文章: (1)HTTP中ip地址伪造的问题以及解决办法 (2)https://www.cnblogs.com/codefly-sun/p/5941314. ...

  8. 【转】Endnote中英文混排及输出作者全名的解决办法

    有的期刊在文章发表时要求期刊名及作者名都需要为全名,不能用省略简写名.那么这个一般的设置是很容易的,具体步骤如下: 第一步,这里以<植物遗传资源学报>的格式为例,打开Endnote后,点击 ...

  9. 万维考试系统检测不到VC++ 6.0环境解决办法

    万维考试系统检测不到VC++ 6.0环境解决办法 在大家使用万维考试系统的时候会发现提示检测不到VC++ 6.0环境 此时就需要这样做了 下载一个企业版VC++6.0 (下载链接https://dow ...

最新文章

  1. 名词用作动词举例_2020考研英语语法全面举例讲解:逻辑意义一致原则
  2. 智能卡电子钱包应用密钥体系
  3. linux java -xms_java.lang.OutOfMemoryError及解决方法
  4. Daily Scrum 11.18
  5. 3小时解决头疼的年终报表!
  6. Spark 1.0.1源码安装
  7. 京东回应 5000 万用户数据泄露;百度向今日头条索赔 9000 万;腾讯全球专利申请量第二 | 极客头条...
  8. mysql replication 原理_MySQL Replication(复制)基本原理 | 学步园
  9. Win11右键菜单切回经典模式
  10. 在后台增加一个查询条件
  11. SQL_Xbar代码
  12. Wxpython pannel切换
  13. Clojure – Functional Programming for the JVM中文版
  14. 关于免费申请6位QQ的真相
  15. 请简述什么是spring的ioc和di_请简述什么是 Spring 的 IoC 和 DI?_学小易找答案
  16. 深入理解flutter的编译原理与优化
  17. Springboot (持续更新)
  18. ping 工具的使用
  19. Layui之动态选项卡iframe使用
  20. 密立根测油滴实验c语言测试,密立根油滴实验-平衡法-数据处理-C程序源代码

热门文章

  1. java 日志时间格式_java.util.logging.Logger 使用中关于时间格式的问题
  2. python封装功能讲解_python学习28——面向对象实例讲解与封装
  3. 【java笔记】Properties类
  4. FFmpeg源代码简单分析:libavdevice的avdevice_register_all()
  5. 小试ImageMagik——使用篇
  6. 开源数字媒体资产管理系统:Razuna安装方法
  7. 圆环和环形是一样的吗_EXCEL圆环图与柱形图的组合
  8. input回车触发事件_JavaScript学习笔记(十五)—Event事件(上)
  9. python headers 随机_0基础学Python之反爬虫利器,搭建HTTP代理~~
  10. 阿里云天池 Docker练习场(入门赛) 操作步骤