后仿是带有时序信息的仿真,它与器件自身的延迟、传输线的延迟以及工艺库相关.
1.添加编译选项
在vcs编译选项中添加与sdf相关的信息.-sdf min|typ|max:instance_name:file.sdf
min|typ|max代表路径延时的大小,instance_name表示将延时反标到的模块名字.
2.initreg
vcs+initreg+0|1|x|z设置初始化的值.
3.transport delay
transport delay允许所有宽度比delay小的脉冲传播出去.
4.作用
a.可以克服sta的局限,完成对异步路径的识别和覆盖,完成对multi-cycle的覆盖.
b.完成初始化和复位顺序的正确性;
c.RTL综合之后会插入dft向量,从而验证功能的正确性;
d.时钟树综合;
e.分析x state
f.性能评估
门级仿真中还有一个重要作用就是用来进行power估计,因为netlist尤其是post_PR的网表能更加真实的接近实际的芯片,提供的power评估值就越有参考价值.
5.前仿真参数
前仿是采用零延时仿真,可以加快仿真的速度,常用的编译选项有+nospecify、+notimingchecks、+no_notifier、+delay_mode_zero.
6.用例挑选
后仿真时会带上时序信息,仿真的时间比较长,通常会挑选部分前仿真用例仿真:
1.初始化和复位用例;
2.多时钟用例每种场景都要覆盖;
3.异步路径、multi cycle场景;
4.主要通路的覆盖;
5.寄存器覆盖.
7.网表
插入DFT,生成post_DFT的网表netlist;根据CPF/UPF插入isolation,生成post_ISO的网表;后端PR,会插入clock tree以及power switch等,生成最终的post_PR的网表.
8.+sdfverbose
默认情况下,只会存储不超过10条反标得warning和error.如果想把所有得反标信息都打印出来,可以在运行选项中添加+sdfverbose.
9.库文件替换
前端仿真时不需要反标信息,选择什么样的仿真模块都ok,后端仿真时需要根据反标的场景,比如说温度对相应的库文件做替换.
10.时序检查路径
异步电路DFF2就无法保证setup和hold时序关系,后仿时就需要设置DFF2为notimingcheck.
notimingchecks --Suppresses timing checks in specify blocks减少specify模块中时序的检查.
a. 增加cfg文件
+optconfigfile+notimingcheck.cfg。
b. 设置相关路径
instance {harness.top.u_dff2_reg} {noTiming};
也可以通过module、tree设置相关路径

gate simulation相关推荐

  1. Verdi 知识体系

    1. verdi 加强了active anotation, active trace和trace this value的能力,并且引入了Temperal flow view.在trace X的时侯面对 ...

  2. 今天为什么仍必须进行门级仿真(GLS)详细讲解

    下面我将详细描述捕获只有在GLS才能发现的16种类型芯片的致命bug的方式,这在我之前在文章中描述过.请确保阅读该文章以了解我在这里所说的内容. GLS成本VS收益率: 工程永远是金钱.是的,从技术上 ...

  3. Gate Level Simulation (前仿及后仿总结)

    ##前仿主要步骤 自己的RTL级仿真,主要是功能仿真. #Testbench(Verilog/SV, UVM) 这时候Testbench需要尽可能多的覆盖各种状况.在SV中指定时序信息需要使用Spec ...

  4. Netlist simulation introduce

    Netlist simulation introduce - 1 什么是后仿 有后仿就当然有前仿,前仿指的是RTL(register transfer level )级别仿真,就是验证工作者在项目初期 ...

  5. 【SV书的章节练习题】Chap.3 Combinational Logic Using SystemVerilog Gate Models

    [SV书的章节练习题]Chap.3 Combinational Logic Using SystemVerilog Gate Models 前言 Problem 3.1 题目 分析:delay def ...

  6. Oracle Golden Gate体系架构详解(原创) - CzmMiao的博客生活 - ITeye技术网站

    Oracle Golden Gate体系架构详解(原创) - CzmMiao的博客生活 - ITeye技术网站

  7. 如何利用 C# 爬取Gate.io交易所的公告!

    对于大部分程序员来说,都希望自己或多或少拥有一些比特币(BTC).获取 BTC 的途径除了挖矿计算 Hash 值之外,就是去交易所购买了. 由于 BTC 的价格波动非常剧烈,入手 BTC 的时机就显得 ...

  8. CVPR2021满分论文 | GeoSim: Camera Simulation

    作者丨陈云@知乎 来源丨https://zhuanlan.zhihu.com/p/377570852 编辑丨3D视觉工坊 <GeoSim: Realistic Video Simulation ...

  9. Badread: simulation of error-prone long reads

    Badread: simulation of error-prone long reads     Badread:模拟容易出错的长read Ryan R Wick1 1 Department of ...

最新文章

  1. 使用Linux服务器搭建个人深度学习环境
  2. 一个批量停止和启动服务的工具
  3. 活动回顾丨从技术创新到行业实践——泛娱乐社交产品专场
  4. C语言函数题-取子串 (10分)
  5. 轻松解决MYSQL数据库连接过多的错误
  6. react打包后图片丢失_React中型项目的优化实践
  7. 【转】Vue.js入门教程(一)从静态页面到前后端分离开发
  8. 信息学奥赛一本通(1049:晶晶赴约会)
  9. 【Python】猜数小游戏
  10. 关于XP下OpenGL的配置
  11. 介绍for-of循环
  12. 蓝桥杯 ALGO-124 算法训练 数字三角形
  13. Android Binder Driver流程分析
  14. php远程上传实例,PHP ftp类实现远程附件上传例子
  15. 172.阶乘后的零 (力扣leetcode) 博主可答疑该问题
  16. 计算语言学之拼写纠错
  17. 《私募股权基金投资基础知识》---第三章
  18. Nginx定义域名访问方式
  19. Thunderbolt3 方案介绍及应用案例介绍
  20. 如何查看已删除的微信聊天记录?教你两招,找到答案

热门文章

  1. CAM350 图片镜像
  2. 【C语言】打印乘法口诀表
  3. Altium designer 不显示3D的模型
  4. 服务器RAID配置全程
  5. 超级码力在线编程大赛初赛 第2场 1.三角魔法
  6. Structured Program I – Print a Frame
  7. GAN“家族”又添新成员——EditGAN,不但能自己修图,还修得比你我都好
  8. jQuery悬浮菜单
  9. 广告图片自动轮播控件
  10. 朋友圈祝自己生日快乐的文案