一、实验名称

验证74LS181运算和逻辑功能。

 

二、实验目的

(1) 掌握算术逻辑单元(ALU)的工作原理;

(2) 熟悉简单运算器的数据传送通路;

(3) 画出逻辑电路图及布出美观整齐的接线图;

(4) 验证4位运算功能发生器(74LS181)组合功能。

 

三、实验原理

ALU(算术逻辑单元)能进行多种算术运算和逻辑运算。一个4位的ALU—74LS181运算功能发生器能进行16种算术运算和逻辑运算。功能表如下:

方式

M=1逻辑运算

M=0算术运算

S3 S2 S1 S0

逻辑运算

CN=1(无进位)

CN=0(有进位)

0  0  0  0

F=/A

F=A

F=A加1

0  0  0  1

F=/(A+B)

F=A+B

F=(A+B)加1

0  0  1  0

F=(/A)B

F=A+/B

F=(A+/B)加1

0  0  1  1

F=0

F=负1

F=0

0  1  0  0

F=/(AB)

F=A加A(/B)

F=A加A/B加1

0  1  0  1

F=/B

F=(A+B)加A/B

F=(A+B)加A/B加1

0  1  1  0

F=A⊕B

F=A减B减1

F=A减B

0  1  1  1

F=A/B

F=A(/B)减1

F=A(/B)

1  0  0  0

F=/A+B

F=A加AB

F=A加AB加1

1  0  0  1

F=/(A⊕B)

F=A加B

F=A加B加1

1  0  1  0

F=B

F=(A+/B)加AB

F=(A+/B)加AB加1

1  0  1  1

F=AB

F=AB减1

F=AB

1  1  0  0

F=1

F=A加A

F=A加A加1

1  1  0  1

F=A+/B

F=(A+B)加A

F=(A+B)加A加1

1  1  1  0

F=A+B

F=(A+/B)加A

F=(A+/B)加A加1

1  1  1  1

F=A

F=A减1

F=A

(上表中的“/”表示求反)

由上表可知,该实验中主要运用的算数加法和算数减法所对应的(S3 S2 S1 S0)分别是(1001)和(0110),主要运用的逻辑与运算、逻辑或运算、逻辑异或运算以及逻辑非运算所对应的(S3 S2 S1 S0)分别是(1011)、(1110)、(0110)和(0000)。

实验电路总共有三个模块:输入模块、运算模块和显示模块。输入模块主要由八个开关组成,主要以八位二进制的形式实现不同的数据输入;显示模块主要由三个数码管构成,主要负责以十六进制的形式显示两个输入的数据和一个运算输出;运算模块主要由一块74LS181N芯片组成,主要实现两个四位二进制数的算术运算和逻辑运算,并将结果输出。

 

ALU—74LS181引脚说明:M=1逻辑运算,M=0算术运算。

引 脚

说 明

M状态控制端

M=1逻辑运算;M=0算术运算

S3  S2  S1  S0运算选择控制

S3 S2 S1 S0决定电路执行哪一种算术

A 3  A2  A1  A0

运算数1,引脚3为最高位

B3  B2  B1  B0

运算数2,引脚3为最高位

Cn   最低位进入输入

Cn=0有进位,Cn=1无进位

Cn+4本片产生的进位信号

Cn+4=0有进位,Cn+4=1无进位

F3  F2  F1  F0

F3 F2 F1 F0运算结果,F3为最高位

 

 

四、实验内容

 

1、实验电路图

2、74LS181N芯片介绍

 

 

该芯片总共由22个引脚,其中包括8个数据输入端(~A0、~A1、~A2、~A3,~B0、~B1、~B2、~B3,其中八个输入端中A3和B3是高位),这八个都是低电平有效。还包括S0、S1、S2、S3这四个控制端,这四个控制端主要控制两个四位输入数据的运算,例如加、减、与、或。CN端处理进入芯片前进位值,M控制芯片的运算方式,包括算术运算和逻辑运算。F0、F1、F2、F3是四个二进制输出端,以一个四位二进制形式输出运算的结果。CN4记录运算后的进位。其中AEQB、~P和~G这三个端口与本实验无关,所以这里不做额外介绍。

 

3、运算过程

假设现在控制信号(S3、S2、S1、S0)的值是(1、0、0、1),分别输入两个四位二进制数(A3、A2、A1、A0)和(B3、B2、B1、B0)。由原理表格可知该运算是加法运算,实验芯片内部的运算过程如下:

(1)、判断CN端是否有信号。若有,在运算是低位端加1;否则不加。

(2)、分别计算A0+B0、A1+B1、A2+B2、A3+B3,将结果通过F0、F1、F2、F3输出,并判断A3+B3是否有进位。若有进位,则另CN4为1;否则为1。

 

例:计算5+7=?

解答过程:因为这是无进位算数运算,所以通过开关控制,将开关M=0,CN=1。5的四位二进制数是0101,7的四位二进制数为0111,所以将开关按要求连接。因为是加法,所以将开关S3、S2、S1、S0设置成1001,于是就会输出结果1100,即C。

 

4、数据验证表格

验证74LS181型4位ALU的逻辑算术功能,填写下表:

 

S3 S2 S1 S0

 

数据1

 

数据2

算术运算(M=0)

逻辑运算

(M=1)

CN=1

CN=0

0  0  0  0

AH

5H

F=AH

F=BH

F=5H

0  0  0  1

AH

5H

F=FH

F=0H

F=0H

0  0  1  0

AH

5H

F=AH

F=BH

F=5H

0  0  1  1

AH

5H

F=FH

F=0H

F=0H

0  1  0  0

FH

1H

F=DH

F=EH

F=EH

0  1  0  1

FH

1H

F=DH

F=EH

F=EH

0  1  1  0

FH

1H

F=DH

F=EH

F=EH

0  1  1  1

FH

1H

F=DH

F=EH

F=EH

1  0  0  0

FH

FH

F=EH

F=FH

F=FH

1  0  0  1

FH

FH

F=EH

F=FH

F=FH

1  0  1  0

FH

FH

F=EH

F=FH

F=FH

1  0  1  1

FH

FH

F=EH

F=FH

F=FH

1  1  0  0

5H

5H

F=AH

F=BH

F=FH

1  1  0  1

5H

5H

F=AH

F=BH

F=FH

1  1  1  0

5H

5H

F=4H

F=5H

F=5H

1  1  1  1

5H

5H

F=4H

F=5H

F=5H

 

 

五、总结及心得体会

这个实验主要考察了我们的电路设计和调试能力,通过一个简单运算器的形式,目的是为了让我们掌握电路设计和分析的方法和能力。为了对电路设计过程中遇到的问题作出一个较好地解决和解释,必须要对系统或者设计有着很清楚的认识。

通过这个实验,我查阅了书籍和网络资源,较清楚地了解了74LS181N芯片的功能和内部运算过程,这对我的研究能力和探知能力有着举足轻重的作用。实验电路设计之后,我对电路设计的流程以及过程中时常会遇到的问题进行了系统地归纳,这对我的以后的电路设计能力有着推动作用,也让我对电路设计的技巧有了较好地掌握。

 

验证74ls181逻辑功能相关推荐

  1. 实验五、计数器逻辑功能和设计

    6.实验内容及步骤 (1)测试74HC161的逻辑功能,根据测试结果总结并描述其逻辑功能,表格自行完善. 表2.5.1  74HC161的功能表 (2)测试74HC390的逻辑功能,根据测试结果总结并 ...

  2. 实验一 验证74LS181运算和逻辑功能

    一.实验题目 实验一 验证74LS181运算和逻辑功能 二.实验目的 (1)掌握算术逻辑单元(ALU)的工作原理: (2)熟悉简单运算器的数据传送通路: (3)画出逻辑电路图及布出美观整齐的接线图: ...

  3. 图片验证码、阿里云短信发送和验证的逻辑

    图片验证码的生成 1.django 缓存设置 1.1 安装Django缓存模块 pip install django-redis==4.12.1 1.2 syl/settings.py中配置缓存 # ...

  4. 双 JK 触发器 74LS112 逻辑功能。真值表_数电实验 | 时序逻辑电路

    实验仪器 Multisim电路仿真 逻辑分析仪 函数发生器 单刀双掷开关 74LS73 双J-K触发器 3片 74LS175 四D触发器 1片 74LS160 十进制计数器 1片 74LS08 二输入 ...

  5. 数字IC验证:几大功能验证(Functional Verification)技术有哪些?

    文章目录 功能验证的目的 五大验证技术 1 静态验证 (Static Verification) 2 功能仿真 (Functional Simulation) 3 FPGA原型验证 (FPGA Pro ...

  6. 16 分频 32 分频是啥意思_CD4017是什么?十进制计数器分频器CD4017的逻辑功能呢?...

    一.CD4017功能概述 CD4017是5位Johnson十进制计数器分频器,时钟输入端的斯密特触发器具有脉冲整形功能,对输入时钟脉冲上升和下降时间无限制,CD4017 提供了16 引线多层陶瓷双列直 ...

  7. 宽度发生变化事件监听_PyQt5(3) :实现登录 事件监听处理 程序逻辑功能和界面分离...

    学习于: 学点编程吧:PyQt5图形界面编程(目录) 最终界面如下: 然后是代码: import sys from PyQt5.QtWidgets import QApplication, QWidg ...

  8. 用74ls90组成二十四进制计数器_CD4017是什么?十进制计数器分频器CD4017的逻辑功能呢?...

    一.CD4017功能概述 CD4017是5位Johnson十进制计数器分频器,时钟输入端的斯密特触发器具有脉冲整形功能,对输入时钟脉冲上升和下降时间无限制,CD4017 提供了16 引线多层陶瓷双列直 ...

  9. java 中括号中的语句,Java中是使用大括号括起来的语句块,用于完成一个相对独立的逻辑功能,这种语句被称作()。...

    Java中是使用大括号括起来的语句块,用于完成一个相对独立的逻辑功能,这种语句被称作(). 更多相关问题 下列哪项措施不能提高砌体受压构件的承载力()? 商业银行办理个人储蓄存款业务,应当遵循地原则( ...

最新文章

  1. linux下性能监控工具
  2. 由数字、26个英文字母、下划线或汉字的正则表达式
  3. 《简明 PHP 教程》00 开篇
  4. JAVA解决生产消费者_Java常用三种方式解决生产者消费者问题(详细)
  5. iview select 怎么清空_iView各种组件清空重置
  6. oracle定时删库,随手胡乱写的批处理,实现Oracle每天定时备库,DMP文件保留3天,3天后删除,对应2000系统...
  7. C# 匿名函数 详解
  8. PDF阅读器哪个好用?看完这篇文章就可以不用再问了
  9. UEditor 配置
  10. TeX Live 2021 从卸载到安装指南
  11. iOS 开发中出现假死解决思路
  12. js实战案例--创建一个电脑对象
  13. Android--APK 捆绑器的实现
  14. python爬虫实践——自动登录抽屉新热榜
  15. 2018-2019中国开发者调查报告简报(一文了解全貌)
  16. Racket编程指南——13 类和对象
  17. 沃顿商学院自我管理课——埃里克.格雷腾斯
  18. APP项目开发失败案例经验汇总
  19. python之xlwt、xlrd和openpyxl
  20. 云端搭建linux学习环境——手把手教学,赶紧收藏以后使用

热门文章

  1. 加速差异化战略布局,欧暇·地中海酒店荣获 “2022年度卓越休闲度假酒店品牌”
  2. centos 7部署并汉化Gitlab及基础操作
  3. hdu5468 Puzzled Elena(容斥原理+dfs序)
  4. 一键自动化数据分析!快来看看这些宝藏工具库
  5. 唯一AI企业!快商通董事长肖龙源获“福建十大青年创业楷模”
  6. FZU 2167 大王叫我来巡山呐(水)
  7. 录音转文字软件哪个好
  8. 美图即将上市,是时候重新评估其用户价值了
  9. 快速理清使用SSH框架的前后台数据交互/调用的顺序流程(新手)
  10. 关于灯板上LED灯总是坏的问题