多种方式描述4选1数据选择器

代码

第一种

`timescale 1 ns / 1 psmodule mux4_1 ( Y ,A ,D0, D1, D2, D3, );input [1:0] A ;
wire [1:0] A ;
input  D0 ;
input  D1 ;
input  D2 ;
input  D3 ;output Y ;
reg Y ;always @(*)if(A == 2'b00)Y = D0 ;else if(A == 2'b01)Y = D1 ;else if (A == 2'b10)Y = D2 ;else Y = D3 ;endmodule

第二种

   always @(*)begin  if(A == 2'b00)Y = D0 ;else if(A == 2'b01)Y = D1 ;else if (A == 2'b10)Y = D2 ;else Y = D3 ;end

第三种(其他的都基本一致,这里懒得写了)


assign Y = (A ==1'b0)?((B ==1'b0)?(D0):(D1)):((B ==1'b0)?(D2):(D3)) ; //选择位ab

RTL视图

rtl视图在左下角TASK->Compile Design->Analysis & Synthesis->Netlist Viewers->RTL
(以后有时间再补充)

波形仿真

编写仿真文件

`timescale 1ns/1ns
module tb_mux4_1();reg in_1;
reg in_2;
reg in_3;
reg in_4;
reg [1:0] sel;wire out;initial beginin_1  <=1'b0;in_2  <=1'b0;in_3  <=1'b0;in_4  <=1'b0;        sel <= 1'b0;end
always #10 in_1 <= {$random} % 2;
always #10 in_2 <= {$random} % 2;
always #10 in_3 <= {$random} % 2;
always #10 in_4 <= {$random} % 2;
always #10  sel <= {$random} % 4;
always begin$timeformat(-9,0,"ns",6);//时间单位格式10-9S,小数点后打印位数,单位,打印最小数字字符是六个$monitor("@time %t %b",$time , in_1);end
mux4_1 mux4_1test1
(.Y(out),.A(sel),.D0(in_1), .D1(in_2),.D2(in_3),.D3(in_4)
);
endmodule

配置仿真



一些小细节

1

全局视图
2

如果有仿真文件error修改后按“上箭头”+“回车”可以重新编译,
编译失败的话在Library->work中不会加载
触发信号是在work->sim中添加、

3

左上角可以省略路径名字

多种方式描述4选1数据选择器相关推荐

  1. Verilog VHDL三种建模描述方式——2选1数据选择器

    标题Quartus II 标题 Verilog VHDL三种建模描述方式--2选1数据选择器 1,结构化描述方式: 是使用实例化低层次模块的方法,即调用其他已经定义过的低层次模块对整个电路的功能进行描 ...

  2. VHDL四选一数据选择器和基本触发器的设计

    主要内容: 本设计主要是利用超高速硬件描述语言VHDL对四选一数据选择器和基本触发器电路进行编程实现. 四选一数据选择器应该具备的功能:在选择信号的作用下,从输入通道中选择某一个通道的数据作为输出. ...

  3. FPGA(1)--VHDL--6选1数据选择器

    文章目录 一.实验目的 二.实验内容 三.实验设计 四.实验结果及仿真 五.实验思考与总结 一.实验目的 掌握用VHDL语句进行组合电路设计的方法,并熟悉程序的编译.调试与波形图的仿真. 二.实验内容 ...

  4. 4片74151扩展为32选1数据选择器

    在做较为复杂的电路设计时, 比如设计过程包含对于5变量逻辑函数的实现, 此时若用大量的逻辑门来实现, 那无疑是耗时耗力的: 若直接用32选1数据选择器进行实现, 则只需对照真值表来接线即可, 这样免去 ...

  5. Verilog——74HC151八选一数据选择器并扩展为16选1数据选择器

    Verilog--74HC151八选一数据选择器并扩展为16选1数据选择器 74HC151的仿真 设计思路 采用行为级建模,根据74HC151八选一数据选择器的功能表编程即可. 代码实现 设计模块 / ...

  6. FPGA(3)验证数字逻辑(与门、与非门、二选一数据选择器、2-4译码器、半加器、全加器)

    目录 一.验证与门 二.验证与非门 三.验证二选一数据选择器 四.验证2-4译码器 五.验证半加器 六.验证全加器 0.初始化定义 1.第一个半加器 2.第二个半加器 3.得到最终进位Co 代码 0决 ...

  7. Verilog二选一数据选择器

    //二选一数据选择器module mux2_1(a0,a1,s,f) input a0,a1,s; output f;//默认是wire(线)变量 assign f=(s)?a1:a0;//assig ...

  8. Quartus II使用说明(Verilog HDL二选一数据选择器 )

    <可编程数字逻辑电路设计>课程中Quartus II软件的使用说明 VHDL和Verilog HDL不一样. 1.新建文件夹,里面包含5个子文件夹(doc/img/prj/rtl/test ...

  9. # Quartusll采用IF设计二选一数据选择器及仿真

    Quartusll采用IF设计二选一数据选择器及仿真 软件Quartusll9.1 没有软件的小可爱先来领取资源哈~~(9版本以后就不自带仿真) 链接:https://pan.baidu.com/s/ ...

  10. VHDL实验一:2选1数据选择器(绘制原理图)

    一.实验要求 2选1数据选择器 输入信号:两个数据源a和b,选择端s.输出信号:选择输出端y.利用选择端s对输出端进行控制.达到2选1数据选择器的效果. 二.实验内容 1.二选一数据选择器的原理图: ...

最新文章

  1. 删除数据库日志sql语句
  2. 深入浅出SNMP及其应用实例分析
  3. 决策树准确率低原因_机器学习决策树算法--剪枝算法
  4. video 微信 标签层级过高_什么是微信小程序二级分销系统?如何玩转?
  5. python提取数据段_python提取数据段 python数据分析
  6. 2018-05-05(在小程序中使用图标)
  7. 游标循环读取的正确逻辑_千分尺的正确使用方法原来是这样?我用了那么多年都用错了...
  8. volatile简记
  9. mysql递归查询树
  10. 后端MultipartFile接收文件转Base64
  11. ArcMap水文分析系列教程
  12. UCOS操作系统——任务内嵌信号量(十一)
  13. 电力拖动自动控制系统_电气工程及其自动化为什么这么火!原因有这些,建议收藏...
  14. ENSP路由交换机配置
  15. 在电子电路中,为什么要进行电气隔离?
  16. 京训钉怎么快速看完_在钉钉用培训机构CRM管理系统,助力协同办公一体化
  17. 企业微信号自定义菜单
  18. 团队对员工工作积极性的影响
  19. Fbx File Format Identifier
  20. 转-手机天猫解耦之路

热门文章

  1. 恒生电子笔试题:LoopMove
  2. 数字图像处理理论课件(清华大学计算机科学与技术系)
  3. 远程预付费管理系统帮助物业解决收费难统计难问题
  4. 与孩子一起学编程07章
  5. Android/iOS 终端快速截屏技巧
  6. 计算机网络sequence number,计算机网络
  7. 编程语言:类型系统的本质
  8. Linux脚本的创建
  9. 数据分析项目实战—信用卡客户违约概率预测
  10. Halcon 《机器视觉算法及应用》十例(其四)