DAC8568 Controller

  • DAC8568 简介
  • 串行接口
  • 控制命令

DAC8568 简介


PIN Description

Name Description
LDAC‾\overline{LDAC}LDAC load DACs
SYNC‾\overline{SYNC}SYNC 低电平有效,电平触发控制, 该输入为输入数据的帧同步信号. 当SYNC为低,使能DAC内输入移位寄存器,并在随后的所有时钟沿采样数据。 在第32个时钟之后,DAC更新输出。 若不到32个时钟SYNC被置高(即出现SYNC上升沿),那么写序列被忽略。
CLR‾\overline{CLR}CLR 异步复位
DIND_{IN}DIN​ 串行数据输入,数据在串行时钟输入的每个下降沿被同步到 32 位输入移位寄存器
SCLKSCLKSCLK 串行时钟,最高可达50MHz
AVDDAV_{DD}AVDD​ 电源输入, 2.7V ~ 5.5V

Timing Diagram

参数 最小值(ns) 说明
t1 10ns 为确保成功写入,SCLK 下降沿到SYNC下降沿
t2 20ns SCLK周期
t3 13ns SYNC上升沿到第31个SCLk下降沿的时间差,确保SYNC中断
t4 80ns SYNC高电平最短时间要求
t5 13ns SYNC到SCLK下降沿的建立时间
t6 8ns SCLK低电平时间
t7 8ns SCLK高电平时间
t8 10ns SCLK下降沿到SYNC上升沿时间
t9 6ns 数据建立时间
t10 4ns 数据保持时间
t11 40ns SCLK下降沿到LDAC下降沿时间,异步LDAC更新模式
t12 80ns LDAC低电平脉冲宽度
t13 4×t14\times t_{1}4×t1​ ns 同步LDAC更新模式,LDAC下降沿到SYNC下降沿时间
t14 40ns 32nd SCLK下降沿到LDAC上升沿时间
t15 80ns CLR低电平脉冲宽度

串行接口

DAC7568、DAC8168 和 DAC8568 输入移位寄存器为 32 位宽:

  • 4-bit 前缀位 : DB31 ~ DB28 (最高位必须置零,余下Don’t care)
  • 4-bit控制位: DB27 ~ DB24 (控制DAC模式)
  • 4-bit 地址位:DB23~ DB20 (选择DAC通道)
  • 16-bit数据位:DB19 ~ DB4 (送入DAC DATA Buffer)
  • 4-bit特征位: DB3 ~ DB0

当写入 DAC 寄存器(数据传输)时,高位优先(高位先写入),DB[3:0]被 DAC 忽略。 在串行时钟输入 SCLK 的控制下,所有 32 位数据都加载到 DAC 中。

最高位DB31必须一直置’0’且先写入DAC移位寄存器。 SYNC为低开始写操作,在之后的每个SCLK下降沿将DIN(串行数据输入PIN)上的数据写入DAC移位寄存器。

在第32个SCLK下降沿,最后一位输入写入DAC移位寄存器并锁定移位寄存器,后续的时钟不会改变移位寄存器的值。在接收到32-bit数据后,DAC开始对32-bit数据进行译码操作,不用等待SYNC的上升沿。新的写(移位寄存器)操作在下一个SYNC下降沿开始。
第 31 位序列完成之前的 SYNC 上升沿复位 SPI 接口; 不会发生数据传输。
必须满足从第 32 个 SCLK 下降沿到下一个 SYNC 下降沿的最小延迟时间的要求,才能正确地开始下一个周期。

DAC8568支持软件硬件同时更新和控制功能,DAC8568的数据更新可以以异步或者同步的方式。

  • 同步模式: 在SYNC下降沿之后的第32个SCLK下降沿更新数据, 此时,LDAC引脚必须接地
  • 异步模式:LDAC下降沿作为触发更新DAC, 可将多个通道内的Buffer设置预期值然后送入LDAC下降沿更新DAC的输出寄存器

控制命令

操作 控制码 地址码 特征码
写入选定的 DAC 输入寄存器 4’h0 4’b0xxx (0~7 对应 通道A ~ H); 4’b1xxx无效码(4‘b1111除外,此时将写入数据广播至每个通道) don’t care
更新选择的DAC寄存器 4’h1 4’b0xxx (0~7 对应 通道A ~ H); 4’b1xxx无效码(4‘b1111除外,此时将写入数据广播至每个通道) don’t care
写入选定的 DAC 输入寄存器并更新所有 DAC 寄存器 4’h2 4’b0xxx (0~7 对应 通道A ~ H); 4’b1xxx无效码(4‘b1111除外,此时将写入数据广播至每个通道) don’t care
写入选定的 DAC 输入寄存器并更新对用的 DAC 寄存器 4’h3 4’b0xxx (0~7 对应 通道A ~ H); 4’b1xxx无效码(4‘b1111除外,此时将写入数据广播至每个通道) don’t care
Power Down命令 4’h4 DB7 ~ DB0 对应 通道 H ~ A DB9 ~ DB8 为2‘b00时,通过将对应bit置高上电 …
写入清除代码寄存器 4’h5 don’t care 4’bxx00(zero scale) , 4’bxx01(midscale), 4’bxx10(full-scale), 4‘bxx11忽略CLR引脚
写入到LDAC寄存器 4’h6 DB7 ~ DB0 对应 通道 H ~ A 默认为零,使用LDAC更新数据
软件复位 4’h7 don’t care don’t care
Internal Reference 命令 4’h8
Internal Reference 命令 4’h9

DAC8568 Controller相关推荐

  1. go系列(5)- beego自己写controller

    前边的系列文章已经讲述了如何安装环境, beego的处理逻辑都是在Controller里面完成的,下面就写一个最简单的Controller. 我们在写自己的controller的时候,一定要继承bee ...

  2. @Controller和@RestController的区别?

    @RestController注解相当于@ResponseBody + @Controller合在一起的作用 1) 如果只是使用@RestController注解Controller,则Control ...

  3. 关于Page翻页效果--Page View Controller

    Page View Controllers 你使用一个page view controller用page by page的方式来展示内容.一个page view controller管理一个self- ...

  4. mvc ajax提交html标签,asp.net-mvc – 如何使用ajax get或post在带有参数的mvc中将数据从View传递到Controller...

    我试图使用ajax将数据从View传递到Controller Action Method,如下所示: – 我有一个用户的Membership实例,我从另一个控制器传入这个视图,使用的视图有点像这个Vi ...

  5. spring 两次进入拦截器_4.SpringBoot 拦截器Fliter,Interceptor,Controller……

    在项目的开发中,在某些情况下,我们需要对客户端发出的请求进行拦截,常用的API拦截方式有Fliter,Interceptor,ControllerAdvice以及Aspect. 上面的图是Spring ...

  6. gvgai框架搭建及controller编写

    gvgai框架搭建及controller编写 gvgai框架搭建 controller编写 gvgai框架搭建 gvgai官网:http://www.gvgai.net/ 框架下载:http://ww ...

  7. Animation Override Controller动画重载器

    假设游戏有很多个小人, 每一个人有2种动画站立,跑.  在通常情况下每一个人物都需要一个动画控制器. 有没有想过定义一个动画控制器 无须在定义全新的动画充值器实现每一个小人都播放自己的动画呢?没错An ...

  8. Ext.app.controller的refs

    简 单来说,就是4.0建议的MVC中controller引用组件的一种方式,selector中设置组件,可以用id.classname,但推荐用 ComponentQuery("组件检索&q ...

  9. java注解返回不同消息,Spring MVC Controller中的一个读入和返回都是JSON的方法如何获取javax.validation注解的异常信息...

    Spring MVC Controller中的一个读入和返回都是JSON的方法怎么获取javax.validation注解的错误信息? 本帖最后由 LonelyCoder2012 于 2014-03- ...

最新文章

  1. 【Netty】入门Netty官方例子解析(一)写个 Discard Server
  2. 答与微博前端教主在吃饭时讨论到的一道微软面试题
  3. java横线_知识点:java一些方法会有横线?以Date 过期方法为例
  4. 矩阵儿快速幂 - POJ 3233 矩阵力量系列
  5. [LeetCode] Count Numbers with Unique Digits 计算各位不相同的数字个数
  6. 打破牢笼,展望更高层次的世界
  7. 大屏监控系统实战(2)-后台工程搭建
  8. java整蛊小游戏源码_Java 开发打飞机小游戏(附完整源码)
  9. k8s集群部署项目_容器交付流程介绍---K8S_Google工作笔记0059
  10. MyBatis的懒加载(延迟加载)
  11. i686和x86_64的区别
  12. Win11怎么重装显卡驱动程序?Win11显卡驱动怎么卸载重装?
  13. 手脱aspack变形壳
  14. 发电子邮件怎么发,手机发电子邮件教程来了
  15. html时区时间显示,JS显示多个国家时区当前时间代码
  16. DNS服务器ttl修改不生效,中科三方DNS专家手把手教学——定位域名解析不生效问题...
  17. 权游谁将领盒饭?AI告诉你
  18. 元音I、i 、ε、æ、a小总结
  19. Groovy语言的介绍
  20. 美团 python_Python | 美团差评数据分析

热门文章

  1. 3D立体相册不过是冷锋蓝plus版 html+css
  2. outlook附件无法打开_通过键盘在Outlook 2007中打开附件
  3. 将数字转化为千分位形式
  4. 什么是稀疏数组?稀疏数组详解
  5. 【Python】字符串不足位数补零/补空格
  6. 使用指针实现strcpy函数的功能
  7. 将AE开发的专题图制作功能发布为WPS
  8. 深度篇——人脸识别(一)  ArcFace 论文 翻译
  9. 学会使用Hdlbits网页版Verilog代码仿真验证平台
  10. 51单片机红外控制步进电机