目录

串一下知识点:

设计一个高速异步SAR ADC

设计目标:

电路架构:(具体电路看前文)

采样网络:

采样电容:

CDAC开关切换策略:

CDAC阵列冗余设计:

SAR 逻辑

比较器方案:



串一下知识点:

第一章:DFT。每一个做信号链的都应该会懂DFT,怎么仿真,怎么分析,每一根谱线的物理含义这些,每一根谱线都是有来头的,怎么计算得到的。后面引入的相干采样的概念。

第二章:采样网络上级板采样和下级板采样的优缺点。上级板:简单,和CDAC配合好;但是精度不高,主要体现在沟道电荷和时钟馈通,虽然全差分可以消掉一写,但是消不完全。下级板采样精度高,像开关电容,pipeline ADC很多都采用下级板采样的,有源S/H。然后介绍的一个相当重要的知识点:电荷守恒。见到开关电容就找电荷守恒,找初态终态,这样就不会晕,因为有时中间挺麻烦的。

第三章:CDAC网络。电容的随机失配,可以扩展到电阻、MOS管等所有遵循随机失配高斯分布的器件。数据统计的方法,1sigma什么意义。CDAC开关切换策略,和采样网络的搭配。

第四章:比较器。低速sar ADC的静态Pre-AMP+Latch,失调消除方法OOS、IOS。高速sarADC的Latch比较器。分析方法:将latch拆成好几个等效的放大器,逐个分析每个管子的等效输入offset。可以类推noise的分析。

第五章:sar逻辑高速异步sar逻辑,和冗余。高速sar逻辑不要被唬住,没什么。主要是冗余,冗余的思想很重要,不光在异步sarADC中。同步sar,pipeline都有。


设计一个高速异步SAR ADC

设计目标:

位数:10bit,采样速率:50MSPS,工艺:SMIC40LL(Low Leakage),电压:1.1V,Vref:电源/地

电路架构:(具体电路看前文)

采样网络:

● 上极板采样:
        ■ 优点:结构简单
        ■ 缺点:会引入与信号相关的失真,采样精度有限,一般不超过10Bt精度

●下极板采样:
        ■ 优点:线性度高,采样精度可以很高
        ■ 缺点:结构复杂,采样之后的复位动作消耗较大能耗

● 最终方案:上极板采样

采样电容:

● 噪声约束电容:
        ■ 单端采样网络的噪声:KT/C(是电阻的噪声,只是噪声能用电容表示,数值和电阻无关)
        ■ 全差分采样网络的噪声:2*KT/C
        ■ 1pF电容对应的 KT/C 为 ~64uV (典型值可以记下来)

● 匹配约束电容:
        ■ MSB (~采样电容的一半) 为CDAC线性度的瓶颈
        ■ 电容越大,匹配越好;电容X4,匹配提升1倍

● 最终方案:自定义mom电容,采样电容(~1pF)

pdk电容单位电容太大,mismatch不可控。定制电容,单位电容可以做的很小,可以区分上下级板,保护好上级板。实际上,我们不是怕寄生,而是怕不知道寄生到哪里去了,用金属包起来,寄生可控,我知道寄生在哪,是什么样的,在设计的时候就能考虑进去。

CDAC开关切换策略:

● 单调开关切换策略:
        ■ 开始转换时比较器输入共模=AVDD/2,转换过程中比较器输入共模不断降低(或者升高)
        ■ 比较器输入共模过低会影响Latch比较器的速度、甚至功能。(latch对小信号放大能力较弱,甚至会出现亚稳态,比较时间过长影响到后面的功能,也可能Vcm过低使得比较器不工作了)(看前文latch分析)

● 基于Vcm的开关切换策略:
        ■ 需要引入一个中间电平VCM=AVDD/2
        ■ 在先进工艺下,AVDD/2附近的开关不容易实现

● 最终开关切换方案:
        ■ 采用基于VCM的开关切换策略
        ■ 采用“电容分裂”技术将一个下极板接到VCM的电容拆分成2个电容
        ■ 2个分裂电容的下极板分别接到VREF和GND

注意:VDD和GND要加非常大的退耦电容。

CDAC阵列冗余设计:

● 方案一:间隔插入Redundancy
        ■ 512/256/128/64/64/32/16/8/8/4/2/1
        ■ 通过观察可得前4次比较拥有相等的冗余量(容错量),为 72LSB;接下来3次比较冗余量为8LSB;最后4次比较不能错

● 方案二:Redundancy逐渐减小
        ■ 436/250/144/82/48/26/16/10/5/3/2/1
        ■ 通过观察可得冗余量逐渐减小,看起来分布更加合理

为什么MSB要冗余量留最大呢:因为,MSB电容最大,刚采样完成,Vref建立可能来不及,CDAC又是基于Vref建立的,而且CDAC建立也需要时间,因此会导致比较器判错。再加上Vref buffer上的噪声,比较器噪声等等。

SAR 逻辑

● 异步逻辑:
        ■ 避免外灌高速时钟:高速时钟工作频率接近GHz
        ■ 根据每一次比较所花费的时间自动划分比较周期,可以避免时间的浪费,提升转换速率

比较器方案:

● 传统的Pre-AMP+Latch方案:
        ■ 需要静态功耗,不利于低功耗设计
        ■ Pre-AMP的 “复位” 难度较大,影响比较器速度

● 动态Latch比较器方案
        ■ 0静态功耗
        ■ 可以考虑加入动态Pre-AMP以提升Latch性能

两级动态latch比较器

关键电路设计:

采样开关:

采样开关

● 需要注意的点:
        ■ 采样NMOS衬偏消除,以提高线性度
        ■ PMOS衬底的正确接法
        ■ PUMP电容的取值(相比寄生要足够大)
        ■ PUMP电容的上下极板的区分
        ■ PUMP电容可以用MOS电容实现

● 导通电阻的设计:
        ■ 采样时间:取50MHz的1/4,为5ns
        ■ 采样开关建立时间:>10*tao,tao<0.5ns
        ■ 采样电容:C=1pF
        ■ 采样电阻Ron=tao/C < 0.5ns/1pF = 500欧姆(所有corner)

CDAC网络:

CDAC网络

● 需要注意的点:
        ■ 不同权重的电容下极板的驱动能力的匹配。
        ■ 尽量标准化设计,“某个单元”的复制,“某个单元”不仅仅包括电容,也包括驱动电路。
        ■ 10位ADC,全差分需要9个电容,做两个冗余位,总共11个电容。

Latch比较器:

LATCH比较器

Latch = 0 ,比较器复位至0;Latch = 1 , 比较器开始比较

异步sar逻辑:Valid产生

Valid信号

异步sar环路:环路启动和结束

异步sar环路:电路实现

异步sar逻辑:CK1~CK12的产生

电路仿真

异步逻辑的仿真

关注以下几个点:
        SOC对异步环路的控制
        CMPOK对异步环路的控制

功能性仿真:Ramp输入

性能仿真:sin输入

性能仿真:CDAC建立情况

SAR ADC系列26:系统设计相关推荐

  1. SAR ADC系列12:CDAC传统开关切换策略

    目录 一.传统的开关切换策略 传统的CDAC切换策略:采样阶段--同时进行一次比较 传统的CDAC切换策略:第一次比较阶段 传统的CDAC切换策略:第二次比较阶段 总体框图 传统开关切换策略:能耗 一 ...

  2. SAR ADC系列16:CDAC上机实践+作业

    目录 作业和上机实践: 通过仿真确定桥接电容Ca的尺寸 采样技术和CDAC相结合 电容校正 为什么在100...0和011...1之间最差:电容的瓶颈在MSB上面 为什么INL最差也发生在中间Code ...

  3. SAR ADC系列2:DFT离散傅里叶变换

    目录 ADC动态性能仿真/测试平台 DFT:离散傅里叶分析 DFT的 Matlab 实现: 频谱泄露: 如何规避频谱泄露: ADC性能分析:DFT Cadence环境下的DFT分析实例: Matlab ...

  4. SAR ADC系列11:分段式CDAC

    一.分段CDAC 分段CDAC的结构 图中的Cd1和Cd2为上极板寄生电容,LSB的单位电容为Cu,MSB单位电容为k*Cu,MSB有M位,最大的电容 2^(M-1)*k*Cu,LSB有L位,最大的电 ...

  5. SAR ADC系列13:单调开关切换策略

    单调开关切换策略 台湾成功大学Chun-Cheng Liu等人提出 单调开关切换策略:所谓单调,指的是在CDAC的上极板(比较器输入)会单调性下降或者上升. 单调开关切换策略和传统开关切换策略的区别 ...

  6. 采样频率和带宽的关系_ADI公司AD7380系列SAR ADC的片内过采样

    原标题:ADI公司AD7380系列SAR ADC的片内过采样 本文引用地址: 简介 本应用笔记讨论逐次逼近寄存器(SAR)型模数转换器(ADC)中的片内过采样.常见过采样技术有两种:正常平均和滚动平均 ...

  7. SAR ADC 介绍 核芯CL1606/CL1689/CL1680 替代AD7607/AD7689/AD1980

    模拟-数字转换器(ADC)是一种将模拟信号转换成对应数字信号的芯片,是链接物理世界和计算机的桥梁,是数据采集系统(DAS)的核心器件,广泛地应用在工业过程控制.医疗设备.自动化测试设备.高精度仪器仪表 ...

  8. 最近面试SAR ADC总是被问到DAC 单位电容的取法

    综合热噪声.工艺电容误差.寄生电容和开关电容的切换方式这四个方面去考量 公式的选取以及mismatch的考虑方法会影响很大,电容太大会导致相应的比较器带宽很大,开关也要很大,才能保证建立,然后使得功耗 ...

  9. SAP PM 初级系列26 - 设备功能位置的Document

    SAP PM 初级系列26 - 设备&功能位置的Document 1, 使用事务代码CV01N 创建了一个文档,与某个设备以及功能位置关联了,如下图示, 2, 执行事务代码IE03去显示该设备 ...

最新文章

  1. 条件随机场(CRF) - 4 - 学习方法和预测算法(维特比算法)
  2. 点分治问题 ----------- luoguP2942 [WC2010]重建计划 [点分治 + bfs + 单调队列 + 预处理建树 + 二分 + 01分数规划]
  3. 用计算机演银河系,天文学家利用计算机模拟类银河星系
  4. 二叉树路径和最大python_python3实现在二叉树中找出和为某一值的所有路径(推荐)...
  5. upload file more than 4MB
  6. linux_svn命令操作
  7. hibernate悲观锁,乐观锁
  8. Recordia for Mac - 音频无损录制工具「M1兼容」
  9. C++一个简单的弹窗程序
  10. 【一起学加密4】一次性密码本
  11. 64位java没有javaw.exe_javaw.exe路径错误导致eclipse无法启动
  12. o2o是什么营销模式c语言求幂函数,O2O营销模式的特点是什么,o2o模式的运营方式...
  13. Oracle Contracts Core Tables
  14. idea为什么不显示运行按钮_idea 右键项目没有run 运行选项
  15. 2 CRYPTO Bubble_Babble气泡密码
  16. 对视频声音,音频进行音量标准化和响度均化,归一化的标准,原理以及具体操作
  17. java实现购买_一个简单的实现购买商品功能的Java小程序
  18. 如何对加密的m3u8、ts文件进行合并
  19. 插件怎么用_室内设计,3dmax插件教程,一键生成木地板
  20. ADB命令合集自己记录

热门文章

  1. 隐身专家 v2.81 绿色
  2. html中验证qq的正确性,QQ强制聊天js脚本代码 可判断好友关系
  3. 这两天服务器uftmp文件夹,用友T6备份用友T6   ua_backuplog显示
  4. 【前端学习日志】HTML表格表单注册页面案例+CSS选择器
  5. Cool Number
  6. 我美丽的家乡——洪雅[转]
  7. Unity 顶点基础 + OnPopulateMesh
  8. 论vue3.0和vue2.0区别之编程方式及例子详解
  9. 单向Boost PFC+全桥LLC串联谐振开关电源Matlab simulink仿真模型
  10. Android自定义控件系列——Paint类全解析