quartus II工具In-System Memory Content Editor使用方法

很多情况我们在做一个项目时,会在FPGA中内嵌一些RAM或ROM,当然一般我们都会使用quartus自带的IP生成工具来完成这样memory的生成;使用quartus自带工具生成的memory IP,quartus有一个非常好用的工具来在线读写这个memory——In-System Memory Content  Edit;

要使用这个功能,需要在生成这个mem IP时(ROM或者RAM都可以),勾选一个选项,如下图:

允许使用“In-System Memory Content  Edit”更新内容,并设置一个RAM的ID,这个ID是在“In-System Memory Content  Edit”中区分不同的RAM时使用的。

RAM/ROM在做了上述的设置后,将工程加载进FPGA,连接上usbblaster,打开“In-System Memory Content  Edit”,就可以对RAM/ROM里的数据进行读写了,真心非常实用的一个工具。

如果各位童鞋使用了synplify pro/premier工具综合了同样的工程后,再将上述配置文件加载进FPGA,可能会发现这个功能没了,是的,的确没了,但是有没有办法补救呢,因为synplify的综合速度简直是忒快了,大工程忍不住的要用他来综合,办法还真有,使用“/* synthesis syn_black_box */“这个综合命令对这个RAM模块做一些设置:

例如下,例化的一个ram:
module ram(

)/* synthesis syn_black_box */;

endmodule

这样,将生成的IP例化成一个黑盒子,在使用quartus进行实现的时候,将synplify生成的网标和这个IP核的.v文件同时加进quartus的工程进行综合,生成的配置文件就可以再次使用这个工具了。

quartus II工具In-System Memory Content Editor使用方法相关推荐

  1. vivado生成ltx文件命令_使用VIO, In-System Memory Content Editor提升FPGA在线调试效率

    之前的文章介绍了FPGA在线调试的方法,包括选定抓取信号,防止信号被优化的方法等等. 当存在多种参数的场景时,意味着我们需要多次综合布局布线,这十分浪费时间,虽然只是修改一个数值. 而本文将介绍FPG ...

  2. Quartusii 调试工具之In-System Memory Content Editor

    本文主要介绍Quartusii 调试工具中的In-System Memory Content Editor,其主要功能就是能实时更改RAM,ROM中的数值,同时也可以修改FPGA内部定义的常数值.它是 ...

  3. FPGA基础知识18(在Quartus II下产生无源代码网表设计文件方法 QXP VQM 加密文件)

    需求说明:IC设计基础 内容       :代码保密设计 来自       :时间的诗 原文:http://blog.csdn.net/da895/article/details/7948318 在Q ...

  4. Quartus II工具1——signaltap

    工作的原因,开始真正的去用signaltap,以前在校时,也就是随便玩玩,看看波形,找找感觉,把示波器搬到电脑上. 前几天开始要用的时候,才发现基本上参数都不懂,当时那种似懂非懂的感觉非常不爽.所以开 ...

  5. 记录一次quartus II prime standard 18添加器件库的方法

    Quartus II 18 prime standard 我很早之前就已经安装好了,但是一直没用,所以当时为了节约内存就没有安装device,我一直用的quartus 13.0 .今天我想着是要解决一 ...

  6. Quartus ii 中ROM ip核的应用

    ROM: read only memory: 掉电不丢失数据 RAM可以被配置为ROM 实验内容: 将一组固定数据(三角波)存储在FPGA中使用IP核构建的片上ROM中,开发板上电后,系统开始从ROM ...

  7. 基于Quartus II的在线调试方法

    细算一下,发现Altera在Quartus II工具中居然提供了五种不同的在线调试方法.这里的在线调试是指协助或不借助于外部工具的FPGA板级调试.这些方法调试形式上稍有不同,互有优劣,目的都是为了帮 ...

  8. (原创总结) Quartus II 的在线调试方法

    以前不大注意, Altera 在quartus里还是提供了很多在线调试的方法, 在Quartus II Version 7.2 Handbook Volume 3: Verification中的Sec ...

  9. Quartus II 安装教程—FPGA入门教程

    Quartus II 工具安装一般分为两个部分,首先是开发工具本身的安装,其次就是器件库的安装,我们可以根据我们的需要选择相应的器件库来安装,这里我们使用Cyclone IV的FPGA,即安装Cycl ...

最新文章

  1. Web App适配iPhoneX
  2. EM上的按钮是方框的问题
  3. 关于Mybatis,我总结了 10 种通用的写法
  4. wait和notify的理解与使用
  5. 家庭主机拨号上网示意图
  6. 初学Python之利用map编写姓名格式化输出函数
  7. RabbitMq之简单队列
  8. 使用Pycharm管理Python依赖库(不使用anaconda)
  9. linux 查看tomcat启动_一次tomcat启动特别慢的排查
  10. springboot + mybatis 学英语网、背单词网站
  11. 游戏配置文件加密工具(附源码)
  12. 一个普通人的震后十年
  13. PPT参考线_Alt+F9
  14. MMKV 组件-快速丶读丶查丶写的sp
  15. 使用codelite搭建stm32开发环境
  16. 论文笔记--3D human pose estimation in video with temporal convolutions and semi-supervised training
  17. 狗狗自带餐具能有多可爱
  18. 技术开放平台,推进开放银行布局
  19. Excel中如何找出两列数据中相同的数据,并且进行同行显示
  20. 亲爱的老狼-浮动float用法解析

热门文章

  1. 长亭科技新品万象发布,升级全线产品,致力用“智能”代替“规则”
  2. windowd 10 2016 LTS 激活方法
  3. 店盈通:新消费行业国潮
  4. 一个工作一年半的程序员的自述(一)
  5. 说一个恐怖故事:我的另一半是程序员!惊了~
  6. QQ登录错误码:100044的解决办法
  7. 2023年计算机考研经验贴
  8. 设计模式:(适配器模式)
  9. 求某一年的某一月有多少天
  10. uni-app的总结