题目:

题意:输入一个整数n,接着输入2*n个数字,代表2*n个选手的实力。

      实力值大的选手可以赢实力值小的选手,实力值相同则都有可能赢。

      叫你把这2*n个选手分成2个有n个选手的队伍。

      问你是否有一种分法让一个队伍必定会赢。

分析:就像田忌赛马,我们要让第一个队更多的选手赢,全赢输出YES,否则输出NO。

   所以我们只需要让第一个队最弱的选手能胜过第二个队最强的选手,我们就可以保证第一个队一定会赢。

  

代码:

#include <bits\stdc++.h>
using namespace std;int a[250];
int main(){int n;cin >> n;for(int i = 0;i < 2*n; i++){cin >> a[i];}sort(a,a+2*n);  //队2*n个选手排序 ,假设前n个选手为第二队,后n个选手为第一队。 if(a[n-1] < a[n]) cout << "YES" << endl;  // 第一队最弱的能胜过第二队最强的 else cout << "NO" << endl;return 0;
} 

Codeforces 845 A. Chess Tourney 思路:简单逻辑题相关推荐

  1. Codeforces 845 C. Two TVs 思路:简单贪心算法

    题目: 题目原文链接:http://codeforces.com/contest/845/problem/C 题意:现在我们有一个电视清单,有两个电视,电视清单上有每一个节目的开始时间和结束时间. 电 ...

  2. python对逻辑回归进行显著性_python sklearn库实现简单逻辑回归的实例代码

    Sklearn简介 Scikit-learn(sklearn)是机器学习中常用的第三方模块,对常用的机器学习方法进行了封装,包括回归(Regression).降维(Dimensionality Red ...

  3. 【数字系统】简单逻辑电路设计:与非门/逻辑门 Quartus II 环境/Verilog 语言/编程+仿真+开发板/FPGA/CPLD/EDA

    一. 实验要求 1. 实现二输入与非门电路以及其他简单门电路: 2. 在Quartus II 环境下,运用Verilog HDL 语言进行编程开发,并完成对电路工作情况的仿真模拟: 3. 完成配置程序 ...

  4. Citesapace作图结果部分——文章整体思路简单分析

    Citespace相关论文,除了引言部分,一般从第二部分开始结合citespace作图软件进行分析,以下做一个该部分简要的概括: 2.Materials and Methods(数据检索部分一般分为两 ...

  5. 一文帮你理清游戏思路+实现逻辑- Python飞机大战

    文章目录 前言 思维导图 思路分析 三大对象 飞船 子弹 小行星 主函数 开始界面 游戏界面 结束界面 End 本篇是一文帮你理清"游戏思路+实现逻辑"专栏的第一篇文章,我个人开此 ...

  6. 【Keras】简单逻辑回归模型

    Keras简单逻辑回归模型 导入安装包 加载数据 创建模型并训练 评估模型 导入安装包 import pandas as pd from keras.models import Sequential ...

  7. 力扣(LeetCode)刷题,简单+中等题(第35期)

    力扣(LeetCode)定期刷题,每期10道题,业务繁重的同志可以看看我分享的思路,不是最高效解决方案,只求互相提升. 第1题:解码异或后的排列 试题要求如下: 回答(C语言): /*** Note: ...

  8. 98%的人没解出的德国面试逻辑题(离散数学篇)!?

    之前一直想把二发表,但是因为某些事情一直没有发表.现在就写一下,到底怎么解和原来的那个逻辑题(其实是离散数学中的图)同一类型的题目. 上一篇的原文"题目如下:"一桶16斤的水,还有 ...

  9. 史上最难逻辑题!据说99.9%的人都做不出来……

    全世界只有3.14 % 的人关注了 爆炸吧知识 非常「逻辑」 撩人于无形 普林斯顿大学博士生 Raymond Smullyan 是一位非常厉害逻辑高手. 在Smullyan与他非常迷恋的女音乐家的第一 ...

最新文章

  1. json对象转为url参数_Day48_Ajaxamp;Json
  2. 真正的中台价值,「炒作」之后才被看见
  3. (9)MSP430F5529 定时器Timer_A
  4. python3.4编程_求教python3.4的编程问题
  5. css实现浏览历史小块
  6. python调用cplex求解装箱问题_使用cplex(python)解决限制背包(01背包)问题
  7. Nginx的主要函数调用关系分析
  8. Javascript远程加载框架 - JFO Remote Framework 1.0
  9. Vue cli 入门补充
  10. mysql数据库存储过程语法错误_奇怪的mysql创建存储过程语法错误
  11. windows防火墙ntp服务器_ad域windows ntp服务器配置详解
  12. 夏日汽车保养 雨季汽车保养
  13. python关闭excel进程_python win32com关闭Excel进程
  14. 关于拉普拉斯算子作用于(1/r)的证明
  15. android音乐播放器sd,Android音乐播放器(2)从SD卡中读取音乐
  16. 百度地图 - 绘制海量点
  17. delphi bde mysql_Delphi- 连接MySQL数据库BDE
  18. 2022苹果AppStore应用商店上传与APP上传流程必看(基础篇)​
  19. 4路编码器脉冲计数器,转速测量,8路DO,Modbus TCP数据采集模块
  20. Flutter IOS 新建打包发布全流程 2023 版

热门文章

  1. android如何监听按钮,Android – 两个onClick监听器和一个按钮
  2. mysql数据库复制基本原理_MySQL的复制原理以及流程
  3. Linux C语言错误处理
  4. 165-PHP 文本替换函数str_replace(六)
  5. Luogu_2774 方格取数问题
  6. mezzanine安装配置
  7. Spring @ControllerAdvice @ExceptionHandler
  8. C++中实现 time_t, tm 相互转换
  9. 数学、物理算法ActionScript实现(2)
  10. [网络安全自学篇] 五十八.Windows安全缺陷利用之再看CVE-2019-0708及反弹shell防御措施