温度反转效应(文末附2018数字IC后端最新校招笔试题目)

文章右侧广告为官方硬广告,与吾爱IC社区无关,用户勿点。点击进去后出现任何损失与社区无关。

最近发现小编公众号的历史推文已经几乎覆盖了整个数字后端设计实现的方方面面。可能是每篇文章中涉及到比较多知识点的缘故。突然发现能写的东西有限了。后续小编可能会尝试从不同角度来看待一些老问题,也会引入数字 IC 后端设计实现中的一些基本概念。另外后续的主要精力会放在小编的知识星球上。

温度反转效应

首先引入 MOS 管电流公式 Id=1/2uW/L(Vgs-Vth)2*。从电流公式中得出,电流 Id 的大小分别与迁移率 u,管子宽长比 W/L,以及(Vgs-Vth)值有关系。

Delay =(Cout*VDD)/Id,其中 Cout 为 Drain 的电容值,VDD 为供电电压,Id 为漏电流。

而从**U(T)=u(300)(300/T)m ,Vth(T) =Vth(300)-k(T-300)**得知,迁移率 u 和阈值电压 Vth 都随着温度的升高而变小。所以从电流公式得出,随着温度的升高,Id 值可能变大也可能变小。下面分别讨论这两种可能性。

  • Id 减小(delay 变大)

在 40nm 及以上工艺时,由于供电电压比较高,虽然 Vth 随着温度的升高而减小,但 Vgs-Vth 足够大,几乎为固定常数,因此此时 Vth 对 Id 的影响可以忽略不计,迁移率 u 的变化对 Id 占主导作用。

  • Id 变大(delay 变小)

在 40nm 及以下工艺时,由于供电电压较低,Vgs-Vth 的变化率较迁移率 u 的变化率更大,即此时阈值电压 Vth 的变化占主导作用。因此,随着温度的升高,漏电流 Id 变大,delay 变小。

所以,在 40nm 及以上工艺时,cell delay 随着温度的升高而变大。在做 timing signoff 时,setup signoff 的 corner 只需选 cworst_125,hold corner 选取 cbest_m40 即可。

但是在先进工艺中,cell delay 不再简单随着温度的升高而变大,而是在低温时 cell delay 反而会更差,如上图所示。我们把这种现象称之为温度反转效应。 因此,在先进工艺中,setup signoff 的 corner 不仅仅是 cworst_125,更需要检查 cworst_m40 这个 corner,而且 cworst_m40 这个 corner 下 cell delay 是最大的。

针对 40nm,一般有 5 种 corner,如下图所示。

2018 年某公司校园招聘笔试题目:

电路结构如上图所示:Delay: 所有 buffer, E->ECK, CK/CKN->Q,G1/G2, delay 均为 1, 所有 net delay 0。

FF1~FF3: setup 3, hold 3 ICG1: setup 3,hold 3

a) clock 定义如下,计算电路中所有 path 的 setup/hold slack 分别是多少?

create_clock–name CLK –period 10 –waveform {0 4} [get_ports CLK]

b) 加上以下设置,请重新计算电路中所有 path 的 setup/hold slack?

set_timing_derate–early–cell_delay 0.8

set_timing_derate–late–cell_delay 1.2

这道题其实是考察 setup,hold 的基本概念以及考虑 OCV 效应的 setup 和 hold 的简单计算。之所以拿出这道题,是由于这道题的特殊性,第一是给出的时钟占空比并不是 1:1,第二是给出的电路图中涉及到half cycle 的概念

对于这道题的所有知识点,小编在公众号均有推送过,如果你是公众号的铁杆粉丝,我相信你一定能做好这道题目,也一定可以如愿找到自己满意的工作。如果你对这道题的答案不是很清楚,欢迎前往小编知识星球提问(这道题如果不会做,千万别说自己是做后端的,更别说是小编的铁杆粉丝)。

小编知识星球简介:

在这里,目前已经规划并正着手做的事情:

  • ICC/ICC2 lab 的编写

  • 基于 ARM CPU 的后端实现流程(已经发布)

  • 利用 ICC 中 CCD(Concurrent Clock Data)实现高性能模块的设计实现(已经发布)

  • 基于 ARM 四核 CPU 数字后端 Hierarchical Flow 实现教程(准备中)

  • 时钟树结构分析

  • 低功耗设计实现

  • 定期在星球布置作业题(星球已经支持布置作业功能)

在这里,各位可以就公众号推文的内容或者实际项目中遇到的难题提问,小编会在 24 小时内给予解答(也可以发表你对数字后端设计实现中某个知识点的看法,项目中遇到的难点,困惑或者职业发展规划等)。

反正它是一个缩减版的论坛,增强了大家的互动性。更为重要的是,微信有知识星球的小程序入口。星球二维码如下,可以扫描或者长按识别二维码进入。目前已经有六十二星球成员,感谢这六十二 ****位童鞋的支持!欢迎各位铁杆粉丝加入!终极目标是打造实现本知识星球全员年薪百万的宏伟目标。 (星球的门槛将会越来越高,有需求的朋友趁早上车)****

相关文章推荐

我是如何在五年内实现年薪 60 万?

教你彻底搞懂 ARM Cortex-A75 CPU 的数字后端实现报告

数字后端设计实现中 route 阶段的那些事

低功耗设计实现中 secondary power pin 的连接方法汇总

数字后端面试问答 No.22-24(每日三问)

免费领取数字 IC 后端实现培训教程,再赠送一箱水蜜桃!

项目后期踩到这些坑,原来可以这么简单处理!(数字后端实现救火篇)

想要彻底掌握 placement 各种技巧,这个一定可以如你所愿!

IC 自媒体访谈:吾爱 IC 社区

教你轻松玩转天线效应 (Process Antenna Effect)

深度揭秘异步复位同步释放原理

数字后端面试问答 No.19-21(每日三问)

这些低功耗设计实现经验,你真的懂了吗?

Lockup latch 的用法,看这个就够了!

深度解析 Create_clock 与 Create_generated_clock 的区别

盘点数字后端设计实现用到的各种文件

clock jitter 是否对 hold time 有影响?(文末有福利)

为什么时钟树上要用 clock inverter(min pulse width check)

LVS 就是这么简单!(数字后端物理验证篇)

揭秘为何 net delay 是负值(数字后端实现时序篇)

PBA(Path Base Analysis)想说爱你不容易(静态时序分析基础篇)

一网打尽时钟树综合 Clock Skew

数字后端设计实现之时钟树综合实践篇

【惊呆了!】你居然还在用 flatten 方式进行 timing signoff

数字后端面试问答 No.16-18

合理的时钟结构能够加速 Timing 收敛(时钟树综合中级篇)

数字后端面试问答 No.13-15(每日三问)

【机密】从此没有难做的 floorplan(数字后端设计实现 floorplan 篇)

数字后端面试问答 No.10-12(每日三问)

数字后端面试问题 No.7-9(每日三问)

听说 Latch 可以高效修 hold 违例(Timing borrowing 及其应用)

15 天零基础入门到精通 python - 最全的视频教程

数字后端面试问答 No.4-6(每日三问)

IR Drop 分析之 Redhawk 分析流程

CRPR 能补偿 crosstalk 吗?

原来电路最高工作频率是这么算出来的(STA 基础篇)

数字后端面试问答 No.1-3(每日三问)

秒杀数字后端实现中 clock gating 使能端 setup violation 问题

教你轻松调 DCT 和 ICC 之间 Timing 与 Congestion 的一致性

数字芯片设计实现中修复 setup 违例的方法汇总

数字 IC 设计中 ECO 的那些事,其实并不是事!

Scan chain reordering 怎么用你知道吗?

如何评价数字后端设计中 floorplan 的好坏?

数字后端实现时 congestion 比较严重,你 hold 得住吗?

数字后端实现 place 过程进阶

Final netlist release 前,你应该做好哪些工作?

基于 Physical Aware 的动态功耗优化实现方案

深入浅出讲透 set_multicycle_path,从此彻底掌握它

【大师必备】最全的数字 IC 设计经典书籍电子版下载

你与数字后端大神的差距在这里,快来瞧瞧!

数字后端实现时 congestion 比较严重,你 hold 得住吗?

时钟树综合(clock tree synthesis)基础篇

【福利】数字 IC 后端各种 Userguide 下载

好了,今天的码字就到这里了,原创不容易,喜欢的可以帮忙转发和赞赏,你的转发和赞赏是我不断更新文章的动力。小编在此先谢过!与此同时,吾爱 IC 社区(52-ic.com)也正式上线了。吾爱 IC 社区(52-ic.com)是一个专业交流和分享数字 IC 设计与实现技术与经验的 IC 社区。如果大家在学习和工作中有碰到技术问题,欢迎在微信公众号给小编留言或者添加以下几种联系方式进行提问交流。

https://mp.weixin.qq.com/s/ZZNYlWW7nnS5Yfpce3i0nQ

温度反转效应(文末附2018数字IC后端最新校招笔试题目)相关推荐

  1. 【机密】数字IC后端笔试面试题库(附知识星球活动)

    [机密]数字IC后端笔试面试题库(附知识星球活动) 文章右侧广告为官方硬广告,与吾爱IC社区无关,用户勿点.点击进去后出现任何损失与社区无关. 最近很多公司的校招都陆续开始了,不知道今年应届生的小伙伴 ...

  2. @autowired注解_品Spring:对@Autowired和@Value注解的处理方法(文末附spring系列资源合集)...

    作者:编程新说李新杰 出自:微信公众号"编程新说" 原文:品Spring:对@Autowired和@Value注解的处理方法 在Spring中能够完成依赖注入的注解有JavaSE提 ...

  3. MATLAB实战系列(十九)-遗传算法解决TSP(旅行商)问题-应用及解析(文末附MATLAB源码)

    接上篇MATLAB实战系列(十八)-遗传算法解决TSP(旅行商)问题-算法原理 https://wenyusuran.blog.csdn.net/article/details/114060030 感 ...

  4. 【干货分享】企业数据中台整体介绍及建设方案(文末附52页pdf下载链接)

    话不多说,直接上干货,文末附52页pdf文档下载链接. 更多细节请关注公众号并回复"1113",获取下载链接. 「 更多干货,更多收获 」 推荐系统系列教程之十二:Facebook ...

  5. UIautomator2:APP自动化测试方法与小技巧记录(文末附实用APP测试脚本编写模板)

    uiautomator2是一个python的用来自动化操作手机的库,可用于APP自动化测试.就笔者的使用体验,比appuim更稳定.易用.本文以大家最常用的APP:微信为例子,记录uiautomato ...

  6. python程序员专用壁纸_程序员炫技必备:用Python生成马赛克画!(文末附源码)...

    原标题:程序员炫技必备:用Python生成马赛克画!(文末附源码) 源 | Python与数据分析文 | 强哥 大家知道马赛克画是什么吗?不是动作片里的马赛克哦~~ 马赛克画是一张由小图拼成的大图,本 ...

  7. 数据仓库指北(文末附PDF下载)

    文章开头介绍下,这篇文章的第一部分Q&A环节,主要来源于日常工作沉淀,于是决定抽空写篇原创博文来做技术分享,有技术问题均可在大数据阶梯之路技术交流群互相讨论,加我微信拉你进群.公众号持续加成输 ...

  8. Vivado 自定义暗黑色主题字体、颜色,复用自定义主题。文末附黑色主题设置文件

    目录 Vivado黑色主题: 自定义自己的风格: 复用已有主题设置: 官方参阅文件: 附录文件: Vivado黑色主题: 自定义自己的风格: 根据喜好在Vivado中设置好自己的颜色风格. 点击&qu ...

  9. 80行代码自己动手写一个表格拆分与合并小工具(文末附工具下载)

    点击上方"Python爬虫与数据挖掘",进行关注 回复"书籍"即可获赠Python从入门到进阶共10本电子书 今 日 鸡 汤 瑶池阿母绮窗开,黄竹歌声动地哀. ...

  10. 亲身经历告诉你,学好英语的正确姿势(文末附自建英语学习网站)

    亲身经历告诉你,学好英语的正确姿势(文末附自建英语学习网站) 为什么要学英语? 你学英语的契机是什么? 缺乏坚持的动力怎么办? 为什么学了好多年英语收效甚微? 如何学好英语? 如何快速构建知识体系? ...

最新文章

  1. C++和Python的OpenCV中关于图像坐标的注意事项
  2. 每天一个linux命令(8):cp 命令
  3. FileZilla无法连接到服务器,不安全的服务器,不支持 FTP over TLS的解决方案
  4. C# 线程手册 第三章 使用线程
  5. windows2003 DHCP中批处理绑定IP与MAC
  6. java.lang.ClassNotFoundException: org.springframework.web.context.ContextLoaderL,spring获取context
  7. 用JADE创建多agent系统
  8. C/C++轻松写可塞满硬盘的程序
  9. Golang Web入门(3):如何优雅的设计中间件
  10. Delphi6及SqlServer对于生僻字䶮的支持测试
  11. 魔术方法 :__callStatic( )实例详解
  12. HALCON 21.11:深度学习笔记---模型(8)
  13. 已锁定 java.lang.Object@25ff46f5
  14. 算法48---原子的数量【栈】
  15. 关于python编程语法_Python编程入门——基础语法详解
  16. 屏幕尺寸、分辨率、像素、PPT解释及其关系
  17. 代码执行器 hook console.log 方案
  18. 「Hortic Res」APETALA2的同源物CaFFN可调节辣椒的开花时间
  19. 【无标题】电自2104吕薇202130310206
  20. 【2022春秋杯】两个题wp

热门文章

  1. Y-我的PPT监控之流媒体服务器的搭建
  2. 2021.12.18-参加青少年人工智能编程水平(YCL)测试5级C++(良好通过)
  3. 阿里云二级域名的申请、创建及解析
  4. 信息安全基础练习题(看完包过)
  5. win10解除usb禁用_Win10系统禁用usb存储设备的设置方法
  6. 融新聚力,筑梦畅行|云畅科技“融云计划”第一期集训营圆满结营
  7. IEEE transactions 的Latex模板入门笔记
  8. 手机上怎么访问电脑html页面,手机UC浏览器怎么访问电脑版 访问电脑页面方法...
  9. 清华计算机系分数线2018四川,四川多少分能上清华?附清华大学在四川的录取分数线...
  10. TI单芯片毫米波雷达代码走读(十四)—— 多普勒维(2D)处理之静态杂波滤除