微机实验报告

曾东明

实验一 输出字符‘A’

一、实验目的

1.通过这个简单的例子熟悉在微机上建立、汇编、链接和运行8086/8088汇编语言程序的过程。

2.掌握字符的显示方法。 3.学习DOS系统功能调用。

二、实验内容

输出字符„A‟,程序流程图如图1-1所示。

图1-1输出字符„A‟程序流程图

源程序如下:

CODE

SEGMENT

ASSUME

CS:CODE

MOV

DL, 'A'

MOV

AH, 2

INT

21H

MOV

AH, 4CH

INT

21H

CODE

ENDS

END

三、实验设备

PC机一台,MASM汇编程序

四、实验步骤

1.用记事本将源程序输入,并存盘。

注意:源程序是用汇编语言语句编写的程序,并不能为机器所识别。源程序的扩展名为.ASM 2.用宏汇编程序将.ASM源程序汇编成机器码的目标文件(OBJ文件) 3.用LINK程序产生执行文件(EXE文件) 4.执行程序

实验结果:

五、心得体会

第一次经历了汇编语言的上机过程,对在计算机上进行汇编语言程序设计的步骤不是很熟悉,对命令提示符的一些操作命令不懂,后来查阅了一些资料,比如可以在window7下按住shift,点击鼠标右键,在弹出的窗口里就可以打开命令提示符了。

六、思考题

1.请说出一个完整的汇编程序从编写到运行所需的步骤。 (1)用编辑程序建立ASM源程序文件

(2)用宏汇编程序将.ASM源程序汇编成机器码的目标文件(OBJ文件) (3)用LINK程序产生执行文件(EXE文件) (4)在DOS命令行直接键入文件名执行该文件 2.修改源程序,改变屏幕显示的内容。 修改的源程序: CODE

SEGMENT

ASSUME

CS:CODE

MOV

DL, 'D'

MOV

AH, 2

INT

21H

MOV

AH, 4CH

INT

21H

CODE

ENDS

END

实验二 数据的显示实验

一、实验目的

1.掌握屏幕上显示各种进制数据的方法。

2.掌握将内存中的二进制数转换为十进制、十六进制数的方法。

3.学会子程序的编写、调用及数据传递方法。

二、实验内容

1.把BX中的无符号二进制数转换成十进制数,在屏幕上显示出来。

2.把BX中的带符号二进制数转换成十进制数,在屏幕上显示出来。

3.求一个数据块(由10个单字节的无符号数组成)中的最大元素,并将结果以十进制数的形式在屏幕上显示出来。

4.求一个数据块(由20个单字节的带符号数组成)中的正数之和(和不超过字)和负数之和(和不超过字),并在屏幕上用十进制数的形式分别显示出两个和。

5.将寄存器BX中的二进制数转换成十六进制数,并在屏幕上显示出来。从高到低依次取出4位二进制数变为ASCII码输出即可。

三、实验设备:

PC机一台、masm汇编程序

四、实验步骤:

依照前面介绍的方法,对每一个实验内容对应的程序进行编辑、编译、连接和运行,直到达到实验要求。

1.实验内容1 (1)实验内容1的流程图,如图所示:

(2)实验内容1的程序:

CODE SEGMENT

ASSUME

CS: CODE

DEC_DIV MACRO

MOV

AX, BX

MOV

DX, 0

DIV

CX

MOV

BX, DX

MOV

DL, AL

ADD

DL, 30H

MOV

AH, 2

INT

21H

ENDM

START: MOV

BX, 0FFFH

MOV

CX, 1000

DEC_DIV

MOV

CX, 100

DEC_DIV

MOV

CX, 10

DEC_DIV

MOV

CX, 1

DEC_DIV

MOV

AH, 4CH

INT

21H

CODE ENDS

END

START (3)实验结果:

2. 实验内容2 (1)实验容1的程序: DATA SEGMENT A DW 1000 B DW 100 C DW 10 D DW 1 DATA ENDS CODE SEGMENT

ASSUME

CS: CODE,DS:DATA

START: MOV

AX,DATA

MOV

DS,AX

MOV

BX, 0FFEFH ;-0017的补码是0FFEFH

OR BX,BX

JNS NEXT

NEG BX

AND BH,7FH

MOV DL,2DH

MOV AH,2

INT 21H

NEXT:

MOV

SI,OFFSET A

CALL

DEC_DIV

MOV

SI,OFFSET B

CALL

DEC_DIV

MOV

SI,OFFSET C

CALL

DEC_DIV

MOV

SI,OFFSET D

CALL

DEC_DIV

MOV

AH, 4CH

INT

21H

DEC_DIV PROC

NEAR

MOV CX, [SI]

MOV

AX, BX

MOV

DX, 0

DIV

CX

MOV

BX, DX

MOV

DL, AL

ADD

DL, 30H

MOV

AH, 2

INT

21H

RET

DEC_DIV ENDP

CODE ENDS

END

START (2)实验结果:

3.实验内容3 (1)实验内容3的流程图,如图所示:

(2)实验3的程序: DATA

SEGMENT

BLOCK

DB 1,0,5,7,10,30,100,127,90,80

RESULT

DB

?,?

BUF

DB

'MAX IS:$' DATA

ENDS CODE

SEGMENT

ASSUME

CS:CODE,DS:DATA

BEGIN

PROC

FAR

MOV

AX, DATA

MOV

DS, AX

MOV

CX, 9

LEA

SI, BLOCK

MOV

AL, [SI]

X1: INC

SI

CMP

AL, [SI]

JAE

X2

MOV

AL, [SI]

X2: LOOP

X1

MOV

RESULT, AL

MOV DX, OFFSET BUF

MOV AH, 9

INT 21H

MOV

BL,RESULT

CBW

MOV

CX, 1000

CALL

DEC_DIV

MOV

CX, 100 CALL

DEC_DIV MOV

CX, 10 CALL

DEC_DIV

MOV

CX, 1

CALL

DEC_DIV

MOV

AH, 4CH

INT

21H

DEC_DIV PROC

NEAR

MOV

AX, BX

MOV

DX, 0

DIV

CX

MOV

BX, DX

MOV

DL, AL

ADD

DL, 30H

MOV

AH, 2

INT

21H

RET

DEC_DIV ENDP

BEGIN

ENDP

CODE

ENDS

END

BEGIN (3)实验3的运行结果:

4.实验内容4 (1)实验内容4的流程图,如图所示:

(2)实验内容4程序: DATA

SEGMENT

BLOCK DB

-1,-2,-3,-4,-5,-6,-7,-8,-9,-10

DB

11,12,13,14,15,16,17,18,19,20

POSIT DW

?

NEGAT DW

?

BUF1

DB

'POSIT:$'

BUF2

DB

'NEGAT:$'

DATA

ENDS

CODE SEGMENT

ASSUME

CS:CODE,DS:DATA

START PROC

MOV

AX, DATA

MOV

DS, AX

MOV

BX, OFFSET BLOCK

MOV

SI, 0

MOV

DI, 0

MOV

CX, 20

X1: MOV

AL, [BX]

CBW

CMP

AX, 0

JGE

X3

ADD

DI, AX

JMP

X2

X3: ADD

SI, AX

X2: INC

BX

LOOP

X1

MOV

POSIT, SI

MOV

NEGAT, DI

MOV DX, OFFSET BUF1

MOV AH, 9

INT 21H

MOV

BX,POSIT

MOV

CX, 1000

CALL

DEC_DIV

MOV

CX, 100

CALL

DEC_DIV

MOV

CX, 10

CALL

DEC_DIV

MOV

CX, 1

CALL

DEC_DIV

MOV

DL,0AH

MOV

AH,2

INT

21H

MOV DX, OFFSET BUF2

MOV AH, 9

INT 21H

MOV BX,NEGAT

NEG BX

AND BH,01111111B

MOV DL,2DH;显示负号

MOV AH,2

INT 21H

MOV

CX, 1000

CALL

DEC_DIV

MOV

CX, 100

CALL

DEC_DIV

MOV

CX, 10

CALL

DEC_DIV

MOV

CX, 1

CALL

DEC_DIV

MOV

AH, 4CH

INT

21H

DEC_DIV PROC

NEAR

MOV

AX, BX

MOV

DX, 0

DIV

CX

MOV

BX, DX

MOV

DL, AL

ADD

DL, 30H

MOV

AH, 2

INT

21H

RET

DEC_DIV ENDP

CODE ENDS

END

START

(3)实验结果:

5. 实验内容5 (1)实验内容5的流程图,如图所示:

图2-4 内容5流程图

(2)实验内容5的源程序,参考如下:

CODE

SEGMENT

ASSUME

CS:CODE

BINHEX PROC

FAR

MOV

CH,4

MOV

BX,1000

ROTATE: MOV

CL,4

ROL

BX,CL

MOV

DL,BL

AND

DL,0FH

ADD

DL,30H

CMP

DL,3AH

JL

OUTPUT

ADD

DL,7

OUTPUT: MOV

AH,2

INT

21H

DEC

CH

JNE

ROTATE MOV

DL,'H' MOV

AH,2 INT

21H

MOV

AH,4CH

INT

21H

BINHEX ENDP

CODE ENDS

END

BINHEX (3)实验结果:

五、心得体会 实验内容1:

宏定义必须先定义,后调用,自己刚开始时把宏定义放在调用的后面,导致程序出错。 看了生产的LIST给出的源程序和目标程序,发现每个宏调用处,通过宏扩展,宏体中的指令的机器代码被插入到宏调用处,不节省内存单元,但相对于子程序,简化了源程序。

实验内容2:

刚开始的时候给BX寄存器送负值,比如-17,我写成了1000 0000 0001 0001 即 MOV BX,8011H,后来发现显示出来的数不是-17。经过思考,懂得原来负数的表示要用补码,下面是两种LIST 文件里对应地方的目标程序和源程序,可以看出转化为目标程序的时候,用补码表示。 0000 BB FFEF 0000 BB FFEF

START: MOV

BX, -0011H

START: MOV

BX, 0FFEFH

实验内容3:求一个数据块中最大元素的时候,把数据块中的第一个元素AL中,并通过循环把其余的元素和AL比较,如果新元素较大的话就取代原来的元素放到AL中。比较完成后,把最大的元素放到预先为其保留的地址中,注意要控制的次数CX,是数据块的个数减一。

LOOP 标号

功能相当于

DEC CX JNZ 标号 实验内容4:

回车和换行的效果不一样。 显示字符串的时候要注意用$。

因为要将正数与负数分别累加,这时候需要分支,而分支的条件有很多种,比如跟0比较大小,比如通过提取符合位来判断,只要能正确实现分支都可以。 实验内容5:

16位的二进制数,4位一组可以显示为4位十六进制数,从高到低依次取出4位二进制数变成ASCⅡ码输出即可。

要注意ASICC代码中,1-9的ASICC代码要比1-9的二进制值大30H,A-F的ASICC代码要比1-9的二进制值大37H,所以对不同的四位二进制,要加个判断分支,才能找到相应得ASICC代码。

六、思考题

1.请总结显示寄存器或者内存中的十进制和十六进制数据的方法。 十进制显示的方法:

先判断是正数负数,是负数要把它转化成负数的绝对值,并在前面加一个负号。

把BX中的数按位由高到低转化成十进制数,即依次对其除以10000、1000、100、

10、1,将获得的商放到 DL中,加30H变成ASCⅡ码,调用DOS系统中断INT 21H的2号功能显示出来;对于每一位十进制数的获得和显示,实验通过编制子程序DEC_DIV并不断调用来实现。

十六进制的显示方法:

16位的二进制数,4位一组可以显示为4位十六进制数,从高到低依次取出4位二进制数变成ASCⅡ码输出即可,可以用循环左移的指令POL,循环左移四位,并提取这四位。

2.请总结子程序编写、调用及参数传递的要点。 子程序定义格式如下:

过程名

PROC [NEAR/FAR]

RET

过程名

ENDP 调用的时候用

CALL 过程名

参数传递有三种方法:(1)通过寄存器传递参数,这种方式适合于传递参数较少的一些简单程序。 (2) 通过地址表传递参数地址,这种方式适合于参数较多的情况,但要求事先建立一个用来传送参数的地址。(3)通过堆栈传递参数。为了利用堆栈传递参数,必须在主程序中调用子程序之前的地方,把这些参数压入堆栈后利用在子程序中的指令从堆栈弹出而取得参数。 同样,要从子程序传递回调用程序的参数也被压入堆栈内,然后由主程序中的指令把这些参数从堆栈中取出。

3.修改源程序,改变屏幕显示的内容。 1:

2:

3:

4:

5:

实验三 从键盘上输入数据实验

一、实验目的

1.掌握从键盘上输入数据的方法。

2.键盘上输入的是ASCII字符,掌握如何将ASCII字符转换为机内数字。

二、实验内容

1.从键盘上输入一个十进制数(0~65535),转换成二进制数并放入寄存器BX中。 2.从键盘上输入0~65535范围的一个十进制数,在屏幕上显示出相 PC机一台、masm汇编程序

三、实验设备 PC机一台、masm汇编程序

四、实验步骤:

依照上次实验步骤,对每一个实验内容对应的程序进行编辑、编译、连接和运行,直到达到实验要求。

1. 实验内容1的程序: CODE SEGMENT

ASSUME

CS:CODE

START PROC

CALL

DECBIN

MOV

DL,0DH

MOV

AH,2

INT

21H

CALL

XIANSHI

MOV

AH,4CH

INT

21H

START ENDP

DECBIN PROC

NEAR

MOV

CX,10

MOV

BX,0

LOP1: MOV

AH,1

INT

21H

CMP

AL,30H

JL

EXIT

CMP

AL,39H

JG

EXIT

SUB

AL,30H

MOV

AH,00H

XCHG

AX,BX

MUL

CX

ADD

BX,AX

JMP

LOP1

EXIT: RET

DECBIN ENDP

XIANSHI PROC

NEAR

LP1: MOV

CX,10000

CALL

DEC_DIV

MOV

CX,1000

CALL

DEC_DIV

MOV

CX,100

CALL

DEC_DIV

MOV

CX,10

CALL

DEC_DIV

MOV

CX,1

CALL

DEC_DIV

RET

XIANSHI ENDP

DEC_DIV PROC

NEAR

MOV

AX,BX

MOV

DX,0

DIV

CX

MOV

BX,DX

MOV

DL,AL

ADD

DL,30H

MOV

AH,2

INT

21H

RET

DEC_DIV ENDP

CODE ENDS

END

START

(2)运行结果:

输入11111后,程序显示如图所示:

2. 实验内容2 (1)实验内容2的程序: STACK SEGMENT DB 20 DUP(?) STACK ENDS CODE SEGMENT

ASSUME

CS:CODE,SS:STACK

START PROC

MOV AX,STACK

MOV SS,AX

CALL

DECBIN

CALL

XIANSH

MOV

DL,0AH

MOV

AH,2

INT

21H

MOV

CH,4

CALL

ROTATE

MOV

AH,4CH

INT

21H

START ENDP

XIANSHI PROC

NEAR

PUSH BX

LP1: MOV

CX,10000

CALL

DEC_DIV

MOV

CX,1000

CALL

DEC_DIV

MOV

CX,100

CALL

DEC_DIV

MOV

CX,10

CALL

DEC_DIV

MOV

CX,1

CALL

DEC_DIV

POP BX

RET

XIANSHI ENDP

DEC_DIV PROC

NEAR

MOV

AX,BX

MOV

DX,0

DIV

CX

MOV

BX,DX

MOV

DL,AL

DECBIN PROC

NEAR ADD

DL,30H MOV

AH,2 INT

21H RET

DEC_DIV ENDP

MOV

MOV

LOP1: MOV

INT

CMP

JL

CMP

JG

SUB

MOV

XCHG

MUL

ADD

JMP

EXIT:

RET

DECBIN ENDP ROTATE PROC

LOP2: MOV

ROL

MOV

AND

ADD

CMP

JL

ADD

OUTPUT: MOV

INT

DEC

JNE

MOV

MOV

INT

RET

CX,10 BX,0 AH,1 21H AL,30H EXIT AL,39H EXIT AL,30H AH,00H AX,BX CX BX,AX LOP1 CL,4 BX,CL DL,BL DL,0FH

DL,30H DL,3AH

OUTPUT;小于转移 DL,7 AH,2 21H CH LOP2 DL,'H' AH,2 21H

ROTATE ENDP

CODE ENDS

END

START

(2)运行结果:

输入00010,显示结果如图3-4所示:

五、心得体会

在写实验二的时候,调用子程序的地方比较多,可是调用子程序的时候,没有对寄存器的数据进行保护,导致程序的执行结果不正确,后来用压栈会保护寄存器里的数据,并用出栈来恢复寄存器的数据,使程序的执行结果正确。

六、思考题

1.请总结从键盘上输入字符的方法。

从键盘上输入一个十进制数(0~65535),转换成二进制数并放入寄存器BX中。对于输入的字符,先判断它是不是1~9的数字字符,若不是,直接跳出循环,若是的话,将ASCⅡ码转化成对应数值并存到AX中,对于下一个输入的数值,判断完成后,通过将AX和BX内容交换并将AX中的数*10,再累加实现。

2.请总结将二进制数转换为十六进制数并显示的方法。

16位的二进制数,4位一组可以显示为4位十六进制数,从高到低依次取出4位二进制数变成ASCⅡ码输出即可,可以用循环左移的指令POL,循环左移四位,并提取这四位。

3.修改源程序,改变屏幕显示的内容。

实验内容1:输入22222,显示

实验内容2:输入12,显示

实验四

字符串的显示实验

一、实验目的: 掌握字符串的显示方法。

二、实验内容: 1. 在内存中存有一个字符串,以0为结尾,程序开始输出“HELLO”然后等待从键盘输入一个字符,在字符串中寻找该字符,若找到,输出“yes”;若找不到,输出“no”,然后再输入下一个字符。

2. 实验内容1的程序是一个无限循环的程序,若按“ESC”键,让程序推出循环,使系统返回DOS。已知“ESC”键的键值是1BH。按照上面的要求对实验内容1的程序进行修改,然后再重新汇编、链接和运行。

三、实验设备: PC机一台、masm汇编程序

四、实验步骤:

1. 实验内容1 (1)实验内容1程序: DATA

SEGMENT

STR1 DB

'HELLO',0DH,0AH,'$'

STR2 DB

20H,'YES',0DH,0AH,'$'

STR3 DB

20H,'NO',0DH,0AH,'$'

STR4 DB

'1 2 3 0 4 5 A B a=! ?',00H

DATA

ENDS

CODE SEGMENT

ASSUME

CS:CODE,DS:DATA

START PROC

FAR

MOV

AX,DATA

MOV

DS,AX

MOV

DX,OFFSET STR1

MOV

AH,9

INT

21H

LOOP1: MOV

AH,1

INT

21H

MOV

BX,OFFSET STR4

GON: MOV

AH,[BX]

CMP

AH,0

JZ

NO

INC

BX

CMP

AH,AL

JNZ

GON

MOV

DX,OFFSET STR2

GO: MOV

AH,9

INT

21H

JMP

LOOP1

NO: MOV

DX,OFFSET STR3

JMP

GO

START ENDP

CODE ENDS

END

START (2)运行结果

2、实验内容2 (1)实验内容2程序: DATA

SEGMENT

STR1 DB

'HELLO',0DH,0AH,'$'

STR2 DB

20H,'YES',0DH,0AH,'$'

STR3 DB

20H,'NO',0DH,0AH,'$'

STR4 DB

'1 2 3 0 4 5 A B a=! ?',00H

DATA

ENDS

CODE SEGMENT

ASSUME

CS:CODE,DS:DATA

START PROC

FAR

MOV

AX,DATA

MOV

DS,AX MOV

DX,OFFSET STR1 MOV

AH,9 INT

21H

LOOP1: MOV

AH,1

INT

21H

CMP

AL,1BH

JZ

EXIT

MOV

BX,OFFSET STR4

GON: MOV

AH,[BX]

CMP

AH,0

JZ

NO

INC

BX

CMP

AH,AL

JNZ

GON

MOV

DX,OFFSET STR2

GO: MOV

AH,9

INT

21H

JMP

LOOP1

NO: MOV

DX,OFFSET STR3

JMP

GO

EXIT:

MOV

AH, 4CH

INT

21H

START ENDP

CODE ENDS

END

START (2)运行结果:

五、心得体会

通过这次实验,掌握了字符算的显示方法。该程序要用到DOS系统功能调用的9号子程序,9号子程序的功能是在屏幕上输出一个字符串,字符串要以字符“$”做为结尾符,但“$”在屏幕上不显示。

六、思考题

1.请总结字符串的显示方法。

该程序要用到DOS系统功能调用的9号子程序,9号子程序的功能是在屏幕上输出一个字符串,字符串要以字符“$”做为结尾符,但“$”在屏幕上不显示。

调用9号子程序的格式是:

MOV

DX,字符串起始地址(入口参数)

MOV

AH,9

INT

21H 9号子程序遇到 “0DH”处理成回车;

遇到 “0AH”处理成换行;

遇到 “20H”处理成空格。

2.巩固汇编语言分支程序设计的方法。

许多实际问题并不能设计成顺序程序,需要根据不同的条件作出不同的处理。把不同的处理方法编制成各自的处理程序段,运行时由机器根据不同的条件自动作出选择判断,绕过某些指令,仅执行相应的处理程序段。按这种方式编制的程序,称之为分支程序。 分支程序是机器利用改变标志位的指令和转移指令来实现的。

转移指令有JMP和Jcc两类。前者是无条件转移指令,后者是条件转移指令。

自动化 学院 物联网 专业 1 班 学号

姓名 协作者 教师评定

实验一 操作训练及数码转换实验

一、实验目的

1)掌握80x86微机原理及接口技术教学实验系统的操作,熟悉Wmd86联级集成开发调试软件的操作环境。

2)掌握不同进制数及数码相互转换的程序设计方法。

二、实验设备

PC一台,td-pite微机/单片机教学实验装置一套。

三、实验内容与步骤 实验程序:

1、STAK SEGMENT STACK

DW 64 DUP(?) STACK ENDS DATA SEGMENT

ORG 0500H DATA1 DB 00H, 01H,02H,03H,04H,05H,06H,07H,08H,09H,10H

DB 11H,12H,13H,14H,15H

ORG 0600H DATA2 DB 16 DUP(?) DATA ENDS CODE SEGMENT

ASSUME CS:CODE,DS:DATA START: MOV AX,DATA

MOV DS,AX

MOV SI,OFFSET DATA1

MOV DI, OFFSET DATA2

MOV CX,0010H A1: MOV AL,[SI]

MOV [DI],AL

INC SI

INC DI

LOOP A1

MOV AH,4CH INT 21H CODE ENDS END START 编译连接后使用U命令查看DS地址为0208 使用G命令运行再用D命令查看结果正确

2、将ASCLL码表示的十进制数转换成二进制数 参考程序 略 利用E命令修改0500开始的数据输入34 35 后G命令运行再用D命令查看结果为DATA:0510 2D正确输入为12 95时对应结果为0C 5F 编程练习一:

1)编程实现将十进制数的ASCLL码转换成BCD码 要求:用E命令从键盘输入的五位十进制数的ASCLL码已存放在0500H起始的内存单元内(例25H、30H、32H、34H、65H),把它转换成BCD码后,再分别存入050AH起始的内存单元内。若输入的不是十进制数的ASCLL码,则对应的结果的存放单元内容为“FF” 程序代码:

STACK SEGMENT STACK DW 64 DUP(?) STACK ENDS DATA SEGMENT ORG 0500H DATA1 DB 25H,30H,32H,34H,65H ORG 050AH DATA2 DB 5 DUP(?) DATA ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA START: MOV AX, DATA MOV DS, AX MOV SI,OFFSET DATA1 MOV DI,OFFSET DATA2 MOV CL,5 A1: MOV AL, [SI] CMP AL,30H JB A2 CMP AL,39H JA A2 AND AL, 0FH MOV [DI], AL JMP NEXT A2: MOV BYTE PTR [DI], 0FFH JMP NEXT NEXT: INC SI INC DI DEC CL JNZ A1 MOV AH,4CH INT 21H CODE ENDS END START 实验结果:

自动化 学院 物联网 专业 1 班 学号

姓名 协作者 教师评定

实验二:运算类编程实验

一、实验目的

1)掌握使用运算类指令编程及调试方法。

2)掌握运算类指令对各状态标志位的影响及其测试方法。 3)学习使用软件监视变量的方法。

二、实验设备

PC一台,td-pite微机/单片机教学实验装置一套。

三、实验内容及步骤

4、除法运算

题目:十进制的除法。被除数和除数均已非压缩BCD数形式存放在内存中。 试验程序:

STACK SEGMENT STACK DW 64 DUP(?) STACK ENDS DATA SEGMENT DATA1 DB 2 DUP (?) DATA2 DB 1 DUP(?) RESULT DB 2 DUP(?) DATA ENDS CODE SEGMENT ASSUME CS: CODE,DS:DATA START: MOV AX, DATA MOV DS, AX CALL INIT MOV SI, OFFSET DATA2 MOV BL, [SI] MOV SI,OFFSET DATA1 MOV DI, OFFSET RESULT MOV CL,0AH MOV AL,[SI] MUL CL ADD AL,[SI+01H] MOV AH,00H DIV BL MOV AH , 00H DIV CL MOV [DI],AX MOV AH,4CH INT 21H INIT: MOV SI,OFFSET RESULT MOV CX,0002H MOV AX,00H A1:MOV [SI],AL INC SI LOOP A1 RET CODE ENDS END START 用e命令赋值被除数09 02 除数04 g命令运行 d命令查看0072:0003 显示02 03 运行结果:

编程练习

2)已知在起始地址为0500H的内存单元中,存放一串数据:10H,20H,30H,40H,50H,60H.请编程求其平均值,并把平均值转换成BCD数存入0500H单元中。 程序代码:

STACK SEGMENT STACK DW 64 DUP(?) STACK ENDS DATA SEGMENT ORG 0500H DATA1 DW 10H,20H,30H,40H,50H,60H ORG 0510H DATA2 DB ? DATA ENDS CODE SEGMENT ASSUME CS:CODE ,DS:DATA START: MOV AX,DATA MOV DS,AX MOV SI,OFFSET DATA1 MOV DI,OFFSET DATA2 MOV AX,[SI] MOV CL, 5 A1: MOV BX,[SI+2] ADD AX,BX INC SI INC SI DEC CL JNZ A1 MOV BL,06H DIV BL MOV BL,0AH DIV BL MOV BH,AH MOV BL,10H MUL BL ADD AL,BH MOV [DI],AL MOV AH,4CH INT 21H CODE ENDS END START 用g命令运行再用d命令查看0772:0510中内容为56

自动化 学院 物联网 专业 1 班 学号311300 姓名 协作者 教师评定

实验三:分支、循环及子程序设计实验

一、实验目的

1)了解分支、循环子程序等程序的基本结构。

2)掌握分支程序、循环结构程序的设计和调试方法。 3)掌握子程序的定义和调试方法。

二、实验设备

PC一台,td-pite微机/单片机教学实验装置一套。

三、实验内容和步骤 3.名次表 程序代码:

STACK SEGMENT STACK DW 64 DUP(?) STACK ENDS DATA SEGMENT ORG 0100H DATA1 DB 10 DUP(?) ORG 0200H DATA2 DB 10 DUP(?) DATA ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA START: MOV AX,DATA MOV DS,AX MOV ES,AX MOV SI,OFFSET DATA1 MOV CX,000AH MOV DI,OFFSET DATA2 A1: CALL AA1 MOV AL,0AH SUB AL,CL INC AL MOV BX,DX MOV [BX+DI],AL LOOP A1 MOV AH,4CH INT 21H AA1: PUSH CX MOV CX,000AH MOV AL, 00H MOV BX,0100H MOV SI,BX A2: CMP AL,[SI] JAE A3 MOV AL,[SI] MOV DX,SI SUB DX,BX A3: INC SI LOOP A2 ADD BX,DX MOV AL,00H MOV [BX],AL POP CX RET CODE ENDS END START 实验步骤:

汇编连接生成exe文件

用e命令输入:3CH,40H,47H,61H,4FH,5DH,52H,30H,56H,45H,等十个成绩。再用g命令运行d命令查看0722:0200.

编程练习

2)有3个8位二进制数连续存放在0200H开始的内存单元中,设他们之中至少有两个数是相同的。编写程序找出与这两个数不同的数,把该数所在地址送到0204H单元,若三个数都相同则送0FFFFH到0204单元。 程序代码:

STACK SEGMENT STACK DW 64 DUP(?) STACK ENDS DATA SEGMENT ORG 0200H DATA1 DB ? DATA2 DB ? DATA3 DB ? ORG 0204H DATA4 DW ? DATA ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA START: MOV AX,DATA MOV DS ,AX LEA SI,DATA1 LEA SI,DATA4 MOV AL,[SI] MOV AH,[SI+1] MOV BL,[SI+2] CMP AL,AH JZ A1 CMP AL,BL JZ A2 MOV [DI],SI JMP A4 A2: ADD SI,1 MOV [DI],SI JMP A4 A1: CMP AL,BL JZ A3 ADD SI,2 MOV [DI],SI JMP A4 A3: MOV [DI] ,0FFFFH A4:MOV AH,4CH INT 21H CODE ENDS END START 实验步骤:e0772:0200命令输入数据01 01 01g命令运行 的命令查看得到

运行结果:

实验报告的撰写要求

一、封面(统一要求)

二、报告内容:

① 题目

② 程序框图

③ 硬件原理图(在做硬件实验时需此步骤)

④ 源程序(左边为实验前预习的源程序,右边为实验后调试正确的)

⑤ 指令分析(分析源程序中用到的条件转移、逻辑、移位、算术运算等指令在程序段

中的作用)

⑥ 结果记录及分析

三、收获感想。可以从以下几个方面总结

① 进一步掌握并理解x86指令系统的功能及应用

② 加深对寻址方式的理解。

③ 了解程序设计过程,掌握x86程序设计的基本方法。

④ 掌握编程、调试方法(包括排除程序错误、决解问题的方法)。

⑤ 通过编程设计、软件调试,进一步了解和掌握x86软、硬件的工作原理,为下一步

硬件实验打下良好的基础。

微机组装实验报告

一、实验内容

1、了解计算机的硬件系统

2、在规定的时间内完成一台计算机的完全拆卸并且完全还原的组装拆卸训练

二、实验目的

1、熟悉计算机的基本配置及各部件的功能

2、掌握计算机的组装过程

三、实验过程及步骤

1、安装CPU(首先把主板放好,并把主板CPU插座上的固定杆轻微地向外侧掰,在向外掰的同时把固定杠提起,此时CPU插座会发生轻微地位移,CPU就可以插入了。在插入之前,应该事先找到CPU表面上的小三角标志,也要找到主板CPU插座上的小三角标志两者对齐安装即可,当CPU插入完成后,固定杆按照逆过程重新归位,CPU也就安装完成了。)

2、散热器的安装(CPU安装完成后,将少许导热硅脂均匀涂抹在CPU表面上。接下来吧CPU的散热器平稳地放置在主板固定支架内,并将扣具的小挂钩放在支架上的卡口内,用力下压扣具的另一头,使小挂钩滑入到支架的另一个卡口内。另一个扣具按照同样的方式进行安装,始终保证散热器的平稳就可以完成了之后再找到CPU散热器的电源线,对应的找到主板上的三脚插针,将电源线插好后CPU散热器的安装就完成了。)

3、内存的安装(在安装内存钱,首先需要把内部插槽两端的卡子想两侧掰开,然后把内存平行放到插槽中并向下用力压入,此时内存就够可以与插槽两端的卡子配合并稳定地插入到插槽内,卡子也会相应地回复到原位。在安装内存时需要特别注意,内存金手指那一段有一个不对称的缺口,这一缺口刚好与内部插槽相对应。插入内存时一定要事先观察内存插槽,以免误用蛮力安装,造成内存的损坏。)

4、电源的安装(电源的一面通常有四个螺丝孔,安装电源时把这个有四个螺丝孔的一面对准机箱上的电源固定位,将四个螺丝孔对齐,并拧上,然后依次按照对角方式拧紧四个螺丝,这样安装保证的绝对稳固。)

5、主板的安装(把主板放入到机箱内部,有各种借口的那一面对应机箱后面的安装位。首先倾斜地放入主板,然后再将主板放平,主板上固定螺丝孔要对应着机箱内部的螺丝柱,按照安装电源的方式,拧紧六个螺丝即可,安装主板的这个步骤中有两个需要注意,第一点就是螺丝的大小是否合适,有些机箱配置的螺丝大小不一,应该找到与主板最为合适的螺丝拧入。第二点就是个别的劣质机箱,螺丝的安装位跟主板无法相对应,如果无法拧紧时,最好不要采取强硬措施,以避免主板变形损坏。)

6显卡的安装(当前主流显卡大多采用PCI-E接口设计,这个与主板上灰褐色的插槽相对应,显卡安装时,应该先试着把有金手指的那面插入,然后双手平行向下按下。)

7、硬盘的安装(硬盘的安装位置要看准了,它通常在机箱内部的3.5英寸驱动器安装位上。)

四、遇到的问题及解决

对于内部数据线的连接不熟悉。解决方法,用笔记录每一根电源线的连接。

五、实验新得及体会

通过学习计算机组装,我了解了计算机方面的一些基础知识,也了解到了CPU,主板,内存等配件的基本结构,原来在计算机方面不是很懂得的我,开始渐渐的深入了解它,相信我今后能更好的使用它。这次计算机组装实验,让我真正将理论和实践融为一体,既巩固了旧知识,又掌握了新知识,在提高了我的动手能力的同时也让我深深感受到了自己在实际运用中的专业知识的匮乏, 今后我会多多动手积累经验。

微机实验心得

这学期通过对微机原理和微机实验课的学习,对微机系统和它的工作原理有了很大的了解。微机实验课,总共做了十几个实验,回想起来受益匪浅,主要是加深了对计算机的一些硬件情况和运行原理的理解和汇编语言的编写汇编语言,它是和机器语言最接近的,用它来编程序,会比用其它高级语言要快得多。实验课程加深了我们对汇编语言指令的熟悉和理解。不仅巩固了书本所学的知识,还具有一定的灵活性,发挥了操作,加深了我们对硬件的熟悉,锻炼了动手能力,发挥创造才能。

通过这次课程使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正提高自己的实际动手能力和独立思考的能力。

对于这门课,我们收获了很多,我觉得这门课偏重于工程思维,主要难点在于对程序的理解。但是老师让我们通过手打程序,控制实验平台很好的理解了各实验的实验程序。对于课程的建议,实验讲义给的程序很清楚,大大降低了实验预习和自主编程的难度,给实验讲义可以更多的给方法和方式,提供一些程序建议而减少直接给出程序内容。实验课上,请一个或两个同学讲解一下实验程序设计思路,通过讲解与其他人互动,可以收获更多。 微机原理课程上讲到的各种芯片的功能,以及引脚的作用,在实验中都得到了运用,使我们加深了对于主要芯片的应用的认识,同时在实验室的环境里熟悉了汇编程序的编写过程和运行过程,最后还提高了自己的动手能力。总结这门课,个人感觉很有必要,学习理论的过程中边通过实验辅助,使我们更容易的接受微机原理的知识。实验过程中,获得了很多收获,获得了很多感悟,当然也遇到了很多困难。但我们都一一克服了他们,成功的完成了实验。并在解决问题,克服困难的过程中,发现了自己平时忽略的,隐藏的问题,以及一些不该出现的粗心大意的小毛病。通过这些,我们认识的更加深刻,了解的更加深入。做到了学以致用,对知识掌握得更加牢固。

这学期的课程告一段落,但是对微机原理的理解和认识,我深知我们做的还远远不够,我们接下来还要继续努力多学习更多知识,当然动手实践很重要,我们平时也应该多编写多运用。通过了这一学期对微机原理的学习,真的对它有了一个全新的认识,我会坚持对它的学习,使自己在汇编语言上有一个长足的提高!

计算机作业实验报告dw感想,微机实验报告相关推荐

  1. 大学计算机实验报告答案 南京理工大学,南京理工大学微机实验报告

    <南京理工大学微机实验报告>由会员分享,可在线阅读,更多相关<南京理工大学微机实验报告(9页珍藏版)>请在人人文库网上搜索. 1.精品文档 微机实验报告 实验 2.1 汇编语言 ...

  2. 大学计算机实验报告答案 南京理工大学,南京理工大学微机实验报告.doc

    南京理工大学微机实验报告.doc -/微机实验报告实验2.1汇编语言程序的调试与运行思考题 1.带进位加法程序DISP MACRO X MOV DL,X MOV AH,02H INT 21H ENDM ...

  3. 计算机作业实验环境写什么,(大学计算机作业)实验报告模板.doc

    (大学计算机作业)实验报告模板 西北师范大学<大学计算机基础>实验报告 学院专业班级学号周次第一周日期2011年 月 日- 年 月 日实验教室10E203机号26题目Windows XP的 ...

  4. 微型计算机原理实验报告系统认识,微机原理 实验报告——显示程序实验与8259应用编程实验...

    微机原理 实验报告--显示程序实验与8259应用编程实验 (9页) 本资源提供全文预览,点击全文预览即可全文预览,如果喜欢文档就下载吧,查找使用更方便哦! 11.9 积分 北京信息科技大学自动化学院实 ...

  5. 微型计算机的实验报告,微机实验报告.doc

    文档介绍: 微机实验报告 学生姓名 专业班 学号 指导老师 年月日 注:红色为程序修改部分 实验一 1.用命令 A 汇编下列指令,判断指令正误并说明原因. (1) ROR AX,8 (2) LEA D ...

  6. 计算机常用软件实验报告,计算机常用应用软件的安装和使用实验报告.doc

    计算机常用应用软件的安装和使用实验报告.doc 还剩 3页未读, 继续阅读 下载文档到电脑,马上远离加班熬夜! 亲,喜欢就下载吧,价低环保! 内容要点: 最后使用裁剪工具把图片裁回原大小最终结果截图: ...

  7. 华南理工大学计算机辅助设计,计算机辅助设计II-华南理工大学设计专业实验报告.doc...

    计算机辅助设计II-华南理工大学设计专业实验报告 设计类专业实验/实践/创作报告 课程名称:II 实验项目名称: 学生姓名:::: 任课教师:实验:.实验目的 课程性质:专业基础课.必修课 <计 ...

  8. 在线安装计算机应用软件,计算机常用应用软件的安装和使用实验报告.doc

    计算机常用应用软件的安装和使用实验报告.doc 计算机常用应用软件的安装和使用实验报告姓名 学号专业 试验时间 一.实验目的1.通过这次实验能够在以后的学习和实践中,根据需要选择合适的绘图软件进行图形 ...

  9. 计算机组成原理实验报告西华大学,计算机组成原理实验报告算术逻辑运算单元实验...

    <计算机组成原理实验报告算术逻辑运算单元实验>由会员分享,可在线阅读,更多相关<计算机组成原理实验报告算术逻辑运算单元实验(6页珍藏版)>请在人人文库网上搜索. 1.西华大学数 ...

最新文章

  1. Android移动开发之【Android实战项目】通过Java代码设置TextView
  2. Kettle调用Java类
  3. linux 下 c++ clock 函数理解
  4. 利用PyCaret的力量
  5. 关于计算机展览的英语作文,2015考研英语作文范文精选:选什么东西参加展览?...
  6. Python global 语句
  7. angular-route 和soket注意细节点
  8. ios安全机制不支持antofocus
  9. 【有限域生成】本原多项式生成有限域的原理及MATLAB实现
  10. orl face data download
  11. OpenRefine数据清洗实战
  12. Keil4 新建工程 和 烧录程序
  13. Spring和MyBatis框架整合的小案例
  14. 比特率控制模式ABR、VBR、CBR
  15. 雷达多普勒频率计算公式_新型双频相干脉冲压缩测速测距激光雷达
  16. 8421拨码开关C语言编程,8052单片机怎样读出8421BCD码拨码开关设定的数值
  17. android方向传感器 指南针,Android如何实现电子罗盘(指南针)方向传感器的应用
  18. Python之Turtle库绘制简单图形
  19. 5-RNN-01_字符集RNN
  20. 数据库驱动和maven

热门文章

  1. 联想拯救者新款 R9000X价格是多少 配置强不强
  2. 基于HSV颜色空间的辅助车牌定位
  3. 授人以渔系列----如何win10安装ffmpeg
  4. 扎实的PHP编程基础,PHP的一些基础编程题
  5. 那些年啊,那些事——一个程序员的奋斗史 ——39
  6. (四)52周存钱挑战3.0-----for循环遍历列表、range()
  7. 专题:2019世界移动通信大会(MWC)精彩纷呈,中国企业各出大招
  8. uni-app 兼容不同平台
  9. DRF批量修改序列化器使用
  10. Java项目源码SSM宿舍管理系统|寝室