FSDB:Fast Signal Database 相比较于VCD文件,FSDB文件的大小比VCD波形小5-50倍。

各家的仿真器都支持在simulation的过程中,直接生成FSDB文件

将VCD文件转换为FSDB文件的三种方式:

1) vfast工具

2) 在nTrace中使用File---Load simulation Tesults打开

3) 在nWave中使用File---Open命令来打开 将Fsdb文件转换为Vcd文件: 1) fsdb2vcd工具,

在进行仿真时,novas_dump.log文件会产生,其中记录verdi的环境变量

FSDB Dumper支持三种方式来加入options:

1) simulator的command line中添加,simv +fsdbfile+high.fsdb

2) 直接在env中添加,setenv NOVAS_FSDB_FIEL mid_prio.fsdb

3) FSDB Dumping cmd中添加,$fsdbDumpfile("+fsdbfile+low_prio.fsdb")

其中,并不是每个option都支持三种方式,cmd line中的优先级最高

FSDB Dumping cmd line option

+fsdbfile+filename,指定FSDB文件的名字,默认名字是novas.fsdb。

+fsdbfile+test1.fsdb +fsdbLogOff,不打印出log信息。+fsdbLogOff

+fsdbLog=severity,按severity的方式dump log信息。+fsdbLog=3

+fsdb+all[=on|off],dump所有支持的类型,+fsdb+all=on

+fsdb+autoflush,在simulation stop或者ctrl+c停止时自动将缓冲中的波形输出,+fsdb+autoflush

+fsdb+dump_log[=on|off],使能或者关闭novas_dump.log,+fsdb+dump_log=on

+fsdb+glitch=num,0表示所有的glitch都保存,1表示最近的glitch保存,2表示最近两个glitch被保存,+fsdb+glitch=0 +fsdb+dumpoff_glitch+time,表示停止dump glitch的时间,+fsdb+dumpoff_glitch+30

+fsdb+dumpoff+time,指定dump结束的时间,+fsdb+dumpoff+2500,+fsdb+dumpoff+2500ps

+fsdb+dumpon+time,指定dump开始的时间,+fsdb+dumpon+500,+fsdb+dumpon+2500ps

+fsdb+io_only,只dump input/output的信号,+fsdb+io_only

+fsdb+parameter,使能parameter的dump,默认关闭,+fsdb+parameter=on

+fsdb+reg_only,只dump寄存器类型的信号,+fsdb+reg_only

+fsdb+psl_prop,只dump PSL property类型的信号,+fsdb+psl_prop

+fsdb+sequential,使能sequence的dump,+fsdb+sequential

+fsdb+strength[=on|off],使能或者不dump strength信息,+fsdb+strength=on

+fsdb+struct[=on|off],使能或者不dump struct信息,+fsdb+struct=on

+fsdb+writer+mem_limit=num,设置limit进行FSDB Flush单位为M,+fsdb+writer_mem_limit=64

+fsdb+sva_status,使能assertion status的dump,+fsdb_sva_status

FSDB Dumping task $fsdbDumpfile,设置FSDB的名字,默认是“novas.fsdb”,$fsdbDumpfile("FSDB_NAME",Limit_Size);

必须在fsdbDumpvars task之前设置。

$fsdbDumpvars(depth,instance,"option="),$fsdbDumpvars(0,system,"+fsdbfile+novas.fsdb");

  不指定scope,等同于整个design,等同于"+all"。

$fsdbDumpvarsByFile("txtFileName","option"),将需要dump的信号写入一个file中。

$fsdbDumpFinish,本身FSDB Files在simulation结束时,都会自己关闭,也可以显式调用该task,来关闭。

$fsdbDumpflush,清空缓存中的波形数据。

$fsdbDumpon("options");$fsdbDumpoff("option");

$fsdbAutoSwitchDumpfile(10,"test.fsdb",20),表示在fsbd文件到10M大小之后,创建新的fsbd文件,最多创建20个fsdb文件。

$fsdbSwitchDumpfile("NewFileName"."+fsdbfile+src_file"),执行到该task之后,关闭上一个dump,开始新的dump文件。。

$fsdbSwitchDumpfile(test1.fsdb,"+fsdbfile+test0.fsdb")

$fsdbDumpSVA(depth,instance,"option"),将assertion的结果存在某个fsdb中,

$fsdbDumpSVA(1,system.arbiter,"+fsdbfile=SVA.fsdb"),将该instance下所有assert全部dump到该fsdb中。

$fsdbDumpMDA(depth, instance, options),将多维变量的值dump到fsdb中,MDA(multidimensional array),在VCS中使用,compile的时候需要加-debug_pp

转载于:https://www.cnblogs.com/-9-8/p/6273782.html

FSDB Dumper相关推荐

  1. 在VCS仿真器中使用FSDB

    FSDB(Fast Signal Database)是Verdi支持的文件格式,用于保存仿真产生的信号波形.据Verdi文档说明,FSDB比标准的VCD格式节省磁盘空间,处理速度更快.要用VCS仿真器 ...

  2. Verdi 知识体系

    1. verdi 加强了active anotation, active trace和trace this value的能力,并且引入了Temperal flow view.在trace X的时侯面对 ...

  3. (筆記) 如何使用Debussy與ModelSim做Co-Simulation? (SOC) (Verilog) (VHDL) (Debussy) (ModelSim)

    Abstract 本文介紹如何使用Debussy與ModelSim做Co-Simulation,並使用Verilog.VHDL以及Verilog搭配VHDL交叉一起simulation. Introd ...

  4. debussy vhdl co-simulation

    另外一篇入门教程: http://www.dzjs.net/html/EDAjishu/2006/1231/1316.html Abstract 本文介紹如何使用Debussy與ModelSim做Co ...

  5. 【SystemC】(二)第一个SystemC程序

    目录 1  代码文件介绍 1.1  adder.h文件 1.2  adder.cpp文件 1.3  top.sv文件 1.4  Makefile文件 1.5  run.tcl文件 2  运行仿真 2. ...

  6. 常用数字设计仿真工具(QuestaSim,VCS,IUS,Verdi等)使用入门

    目录 1. 前言 2. QuestaSim基本使用方法 2.1 命令行编译 2.2 命令行运行 2.3 启动GUI进行仿真 2.4 从GUI中进行编译运行 2.5 dump波形 2.6 指定随机种子 ...

  7. VCS自动连接NOVAS文件(SV enhance)

    在2006版本以后的vcs(VCS-MX 2006.06.SP1-8)支持自动连接novas相关的PLI.然后通过vcs -fsdb 选项(或者 scs,simv,scsim)来链接novas. 带来 ...

  8. verdi使用linux命令,vcs和verdi的调试及联合仿真案例

    环境配置 首先搭建好vcs和Verdi都能工作的环境,主要有license问题,环境变量的设置.在220实验室的服务器上所有软件的运行环境都是csh.所以,所写的脚本也都是csh的语法. 生成波形文件 ...

  9. 【译】Object Dumper: 函数式程序设计编码中的强大工具

    Post in English:Object Dumper: An Invaluable Tool for Writing Code in the Functional Programming Sty ...

  10. XML::Simple与Data::Dumper在Perl中的使用

    在perl中使用XML::Simple与Data::Dumper对XML文件进行操作. foo.xml文件,内容如下: <servers>        <server>   ...

最新文章

  1. POJ - 3734 Blocks 指数生成函数
  2. odoo self.ensure_one()
  3. 信息学奥赛一本通 1127:图像旋转 | OpenJudge NOI 1.8 11:图像旋转
  4. 一路向左or一路向右
  5. SCPPO(二十九):测算过程中问题的解决总结(续)
  6. java面试题整理_2018年最新java面试题整理。。。持续更新中。。。
  7. powerpoint(ppt) 的制作
  8. 面试技巧(一)〔参加笔试、面试的技巧〕
  9. php js attr,php如何像js一样解析DOM?
  10. 学计算机要做笔记吗,两个心理学实验告诉你,记笔记有多重要
  11. 各类图像数据大集合(下载链接)
  12. TOM邮箱超级靓号来袭,12年送12年开始抢注了哦~
  13. 张健和他的Fcoin
  14. Redis 之 SessionCallback RedisCallback 使用
  15. 支付系统设计包含:账户,对账,风控...!史上最全的!--转
  16. 关于WPF的资源引用问题
  17. 【训练1】储蓄卡与信用卡
  18. javascript案例30——continue、break
  19. Linux之安装Nginx(安装包方式)
  20. MySQL不同隔离级别并发测试分析

热门文章

  1. 【网络是怎么连接的】| 【03】探索集线器、交换机和路由器
  2. 出行即服务MaaS精华主题汇总(更新至20220827)
  3. SATA2 硬盘 系统盘 显卡黑屏_不重装系统加装固态硬盘
  4. GSOAP 在一个客户端内调用多个服务出现的问题解决
  5. ubuntu20.04下搜狗输入法不能输中文问题解决
  6. 视频运动放大一:欧拉视频放大,《Eulerian video magnification for revealing subtle changes in the world》
  7. SFP光模块的多模和单模区别
  8. box-shadow兼容IE8浏览器写法
  9. 西北大学计算机系房教授,西北大学段清波院长的秦陵尘封的帝国有人看过吗?...
  10. 700 boost yeezy_公司级Adidas Yeezy Boost 700上脚测评