数字IC设计之DC篇:DC流程介绍

  • 综合概念

综合是使用软件的方法来设计硬件, 然后将门级电路实现与优化的工作留给综合工具的 一种设计方法。它是根据一个系统逻辑功能与性能的要求,在一个包含众多结构、功能、性能均已知的逻辑元件的单元库的支持下,寻找出一个逻辑网络结构的最佳实现方案。即实现 在满足设计电路的功能、速度及面积等限制条件下,将行为级描述转化为指定的技术库中单元电路的连接。

数字电路的逻辑综合包括三个步骤:综合=转化+映射+逻辑优化,具体的流程如下图所示;

转换阶段综合工具将 HDL 语言描述的电路或未映射的电路用工艺独立的 RTL 级的逻辑来实现,对于Synopsys的综合工具DC来说,就是使用 gtech.db 库中的 RTL 级单元来组成一个中间的网表。

映射阶段:是指将网表文件映射到特定的工艺库,此工艺库包含了工艺技术参数和单元的功能和延时;

优化阶段:综合工具将网表文件根据设计者的约束进行电路性能的优化;

  • DC入门学习

DC的入门学习建议通过书籍掌握基本的概念,之后通过Sysnopsys提供的WorkShop对工具和综合流程进行基本的掌握,最近我学习DC的资源就是DC200703_LabGuide,需要此资源的可以百度搜索或者后台联系我;在通过WorkShop掌握了基本操作后,建议大家实际操作一个工程,进行逻辑的综合,以达到独立进行综合的最终目的。

在DC综合中,首先需要设置综合的环境,在.synopsys_dc.setup文件中需要设置库的位置和环境变量,DC综合设计的库文件如下所示:

  1. Target_library:由半导体厂商提供,包含工艺技术参数和单元的功能,DC使用库里的单元构成电路。工艺库一般是.dbDE 的格式,这种格式是DC认识的一种内部文件格式;
  2. Link_library:设置模块或者单元电路的引用,对于所有DC可能用到的库,都需要在Link_library中进行指定,包括要使用到的IP文件。此处还要将search_path添加进来,这样才能找到.db文件;
  3. Symbol_library:包含工艺库中的单元图形表示的库名称;

后续会进行一个实际综合的实例来进行综合过程的演示,敬请期待~

数字IC设计之DC篇:DC流程介绍相关推荐

  1. Linux环境下EDA软件的使用——数字IC设计DC综合篇

    Linux环境下EDA软件的使用--数字IC设计DC综合篇   目前,绝大部分集成电路设计所用到EDA软件都是Linux版本,对于芯片工程师,熟悉一款EDA软件是入门的必修课,当然要真正的掌握会是十分 ...

  2. 数字IC设计流程总结

    IC设计是一个很复杂漫长的过程,笔者以下图进行总结,其中后端总结的很模糊,后续了解学习后再进行补充.笔者会根据自己的理解,一步步的分享自己理解的设计流程.其中难免有问题错误,望同学老师指出,感谢!哔哩 ...

  3. 数字IC设计的基本流程和主流EDA工具

    数字IC设计的基本流程和主流EDA工具 IC设计全周期存在迭代,以下按照正常无迭代流程: ~ ~~ ~~ 芯片设计与芯片制造缺一不可,另有一篇博文详细介绍芯片从0-1,传送门 芯片从0到1 spec ...

  4. 数字ic设计流程以及相关工具

    这里写自定义目录标题 数字ic设计流程及相关工具 数字Asic设计流程前端到后端使用工具 综合 布局布线 后仿 数字ic设计流程及相关工具 我认为IC设计流程按照功能和应用场合不同大致可以划分为三个部 ...

  5. 数字IC设计流程(全),芯片设计流程,集成电路设计流程

    一 数字IC设计流程 前端: 1.规格制定 甲方提要求,确定芯片的功能,性能等方面. 2.架构设计 架构工程师制定方案,设计架构,划分模块功能,定义接口时序. 3.RTL编码 数字IC设计工程师编写R ...

  6. 【IC设计】Synopsys数字IC设计流程

    文章目录 数字IC设计流程 前端设计 RTL编写和HDL仿真 逻辑综合 门级仿真 形式化验证 后端设计 数据准备 set mw_phys_refs * set link_library * 数据准备 ...

  7. 数字IC设计实现hierarchical flow之物理验证篇

    数字IC设计实现hierarchical flow之物理验证篇 文章右侧广告为官方硬广告,与吾爱IC社区无关,用户勿点.点击进去后出现任何损失与社区无关. 吾爱 IC 社区上周推出了七月份的第一波福利 ...

  8. 起点篇:跨入半导体行业,数字IC设计

    起点篇:跨入半导体行业,数字IC设计 机缘巧合跨入IC行业 前言(忽略)   2019年是我大学的完结,也是我读书生涯的完结.因为上大学时,并没有考研的想法,而且虚度了2年多的大学时光,每天都大把时间 ...

  9. 数字IC设计(ASIC设计)完整流程详解

    目录 I.ASIC设计流程 一.确定项目需求 二.前端流程 三.后端流程 II.IC设计流程相关名词梳理(含各流程EDA工具梳理) III.IC设计流程整理(图片) I.ASIC设计流程 一.确定项目 ...

最新文章

  1. 一、Axis2 WebService开发准备工作
  2. word2vect 输出是什么
  3. epson机器人编程 范例_2019年机器人盘点(IREX篇)
  4. 湖南人文科技学院没有计算机一级能毕业吗,在湖南人文科技学院读书真的是生不如死...
  5. [html] 你知道短链接的生成原理吗?
  6. PyTorch框架学习十八——Layer Normalization、Instance Normalization、Group Normalization
  7. python-内置函数-数学函数
  8. 越混越差的十个原因,看看你有没有?
  9. python 生成器装饰器_七.python迭代器生成器装饰器
  10. extjs TabPanel 监听激活事件
  11. 面向对象的四大特征 封装 继承 多态 抽象
  12. Dell服务器串口开机协议,dell和ibm服务器串口重定向功能的配置.docx
  13. Filter Concatenationd的理解
  14. 软件测试工作职责,软件测试经理岗位职责
  15. 虚拟机win7纯净版镜像_win7旗舰版-虚拟机镜像文件怎么下载安装
  16. 《数据库系统概论》期末复习速成
  17. linux下运行vasp,VASP5.3.5 并行版本+VTST从头编译教程(完整版)
  18. 寒假训练营第一天(学会CB):D - Unimodal Array
  19. 《计算机网络(第7版)-谢希仁》期末复习
  20. 北京网友加拨河北保定区号电话抢票引争议

热门文章

  1. 【计算机组成原理】NRZ1、PM、FM、MFM的写电流波形及特点
  2. JVM之Gc基础知识
  3. Adobe Acrobat 官方升级包下载地址
  4. 使用Acrobat SDK开发Adobe AcrobatReader插件
  5. 织梦(Dedecms)文档关键词维护,文章页自动添加关键词内链锚文本
  6. Redis按Key前缀删除
  7. 系统分析师学习笔记(二十)
  8. linux系统下wps的安装方法
  9. 《Python 程序设计》复习题
  10. NRF24L01+中文翻译连载之一——无线电控制