前言:这一篇是讲如何在Ubuntu下安装INCISIVE151,安装过程是参考这个链接进行安装的:https://blog.csdn.net/yy345730585/article/details/90407408

INSIVE151安装包、patch和license下载链接: https://pan.baidu.com/s/1AFChiaz5ycTC3Io9oKLNoQ  
密码: qa1i

安装流程:
一.先合并安装包:cat INCISIVE15.10.010_Hotfix.7z.00*>INCISIVE15.10.010_Hotfix.7z后再解压。
二.启动IScape图形安装界面,要是有安装过IScape的直接启动使用,没有安装IScape需下载安装。

IScape下载链接: https://pan.baidu.com/s/1FvpOto5fAIRjQARcbMbjZQ

密码: k1cb

解压InstallScape后,依次输入以下指令:
1.cd /opt/cadence/IScape ( 进入解压后软件包所放的目录)
2.sudo chmod -R 777 /opt/cadence/IScape (更改cadence文件夹的写入权限)
3.sudo zcat IScape04.23-s010lnx86.t.Z | sudo tar -xvf - (解压IScape04.23)
4.cd /opt/cadence/IScape/iscape/bin (进入iscape下bin文件夹)
5.sudo ./iscape.sh (启动IScape安装界面,如下图)

选择菜单栏Preferences - Istallscape

设置 Directories 如下图:
Cache Directory选择的是缓存目录
Default Install Directory选择的是默认安装目录

点OK下一步安装INSIVE151,下载的安装包解压后放在目录里选择

点start开始安装,在安装过程中弹出的对话框都写yes回车,碰到license不通过的写no回车。

三.安装完后破解

cd  INCISIVE151/patch/                           (下载的pacth路径)

tar -xvf patch.tar                                     (解压)

sudo cp -r patch /opt/cadence         (复制patch到安装路径下)

cd INCISIVE151/license                        (进入下载的license路径)

tar -xvf cadence.dat.tar.gz                   (解压)

sudo cp cadence.dat /opt/cadence/license/(复制到安装文件夹license下)

cd /opt/cadence/                                     (进入安装文件夹)

chmod -R 777 INCISIVE151/                  (更改权限)

cd patch

sudo ./patch62.sh /opt/cadence/INCISIVE151/(破解)

四.配置环境变量

1.编辑.bashrc

vim ~/.bashrc

2.在后面添加环境变量

#####################
# cadence
#####################
export INCISIVDIR=/opt/cadence/INCISIVE151
export VRST_HOME=/opt/cadence/INCISIVE151
export LM_LICENSE_FILE=/opt/cadence/license/cadence.dat
export LD_LIBRARY_PATH=$LD_LIBRARY_PATH:/opt/cadence/INCISIVE151/tools.lnx86/lib
alias irun="/opt/cadence/INCISIVE151/tools/bin/irun"
alias simv="/opt/cadence/INCISIVE151/tools/bin/simvision"
alias simvision="/opt/cadence/INCISIVE151/tools/bin/simvision -64 &"
alias ncvlog="/opt/cadence/INCISIVE151/tools/bin/ncvlog"
alias ncsim="/opt/cadence/INCISIVE151/tools/bin/ncvsim"
alias ncelab="/opt/cadence/INCISIVE151/tools/bin/ncelab"
alias ncvhdl_p="/opt/cadence/INCISIVE151/tools/bin/ncvhdl_p"
export SPECMAN_HOME=$INCISIVDIR/components/sn
export SPECMAN_DIR=$SPECMAN_HOME/
export PATH="$PATH:$INCISIVDIR/bin"
export PATH="$PATH:$INCISIVDIR/tools.lnx86/bin"
export PATH="$PATH:$INCISIVDIR/tools.lnx86/dfII/bin"

3.保存后退出,输入命令使其生效

source ~/.bashrc

4.试运行其中工具

在终端输入simv启动simvsion,出现下图界面

到这INCISIVE151就安装好了。

在Ubuntu 18.04下安装INCISIVE151相关推荐

  1. 8192fu网卡linux,在Ubuntu 18.04下安装腾达Tanda U6无线网卡(RTL8192EU)驱动

    Ubuntu 18.04操作系统默认使用的Linux内核是4.15版本,目前腾达官方只有支持到 Linux 4.4内核的驱动,官方驱动默认在Ubuntu 18.04是安装不了的.用Ubuntu 18. ...

  2. 深度linux腾讯视频,在UOS/Deepin 20/Ubuntu 18.04下安装腾讯视频Linux版的方法

    要想在UOS.Deepin 20.Ubuntu 18.04操作系统下安装腾讯视频Linux版,必须先去下载Tenvideo_universal_1.0.10_amd64.deb包,然后使用dpkg命令 ...

  3. 在ubuntu 18.04下安装Nvidia显卡驱动的黑屏问题

    目录 安装环境 问题 解决办法 更新 安装环境 ubuntu 18.04 ROG 幻16 3060 问题 禁用ubuntu 自带显卡驱动Nouveau,具体流程网上查询 根据系统推荐,安装了nvidi ...

  4. 在 Ubuntu 18.04 下安装 Klee 2.2

    Klee 是基于 LLVM 的符号执行引擎,因此安装 Klee 首先需要安装对应版本的 LLVM .Klee 2.2 版本是基于 LLVM 9 的,本文主要记录在纯净 Ubuntu 18.04 安装 ...

  5. qmmp安装包linux版,Qmmp音频播放器1.2.1发布下载(附Ubuntu 18.04下安装方法)

    Qmmp是一款基于Qt的音乐播放器,当前最新版本已到1.2.1版本(qt4为0.11.1),它具有winamp或xmms接口,新的Qmmp版本支持FFmpeg 4.0,并添加了改变默认用户界面的功能. ...

  6. Ubuntu 18.04 下安装pip3及pygame模块

    1.Ubuntu下pip3的安装.升级.卸载 安装pip3 sudo apt-get install python3-pip 升级pip3 sudo pip3 install --upgrade pi ...

  7. ubuntu 18.04下安装Java

    参照以下链接,这个是我找到的最易上手的学习教程了 https://blog.csdn.net/sangewuxie/article/details/80958611 按其步骤,我下载的是Java 11 ...

  8. ubuntu 18.04 下安装微信

    打开终端输入git clone https://gitee.com/wszqkzqk/deepin-wine-for-ubuntu.git cd到 deepin-wine-for-ubuntu文件夹下 ...

  9. Ubuntu 18.04 下安装smplayer视频播放器

    1.Ctrl+Alt+T打开终端,输入下列命令: sudo apt-add-repository ppa:rvm/smplayer 若出现了如下图所示的状况: 那咱就听话,输入下列代码: sudo a ...

最新文章

  1. 在 ASP.NET MVC 中使用 Chart 控件
  2. python构造响应头_Python爬虫库requests获取响应内容、响应状态码、响应头
  3. 思维模型篇:五大生命周期理论
  4. java获取jsp对象的属性_java-从jsp el中的对象获取布尔属性
  5. System.Delete - 从字符串中删除
  6. apolloxlua include关键字
  7. ORM框架之Mybatis(五)mybatis生成器配置文件说明以及生成的类的说明
  8. 了解有关计算机病毒的知识,从基础知识开始 全面认识计算机病毒
  9. 读取cpu温度的api_读取CPU核心温度
  10. [Java,IDEA]连接oracle的关于oracle.jdbc.driver.OracleDriver一直驱动加载失败的原因
  11. oracle的mins,分钟缩写是min还是mins 即min是minutes的缩
  12. python中histogram_python – 了解Pillow中的histogram()
  13. 37岁被裁员,出来再找工作,大公司不愿要,无奈去小公司面试,HR的话扎心了
  14. Dva.js 入门级教学文档-1
  15. 打印********的平行四边形
  16. Like What Y ou Like: Knowledge Distill via Neuron Selectivity Transfer(2017)------论文阅读笔记
  17. 7-2 二叉搜索树的删除操作
  18. 用Python写了一个上课点名系统(附源码)
  19. 大事件后台管理系统——个人中心
  20. docker的常用基本命令

热门文章

  1. esp32有趣的电路设计软件Fritzing
  2. 运动控制学习 学习笔记(八)——定义和评价学习
  3. Java配置短信验证码功能实现录
  4. 物联网毕业设计 - 单片机宠物远程智能管理系统
  5. 计算机高级职称能入深户吗,深圳职称可以申请入户深圳吗
  6. python中strptime_Python中的Strptime错误
  7. orangePi3 lts
  8. IT安全风险管理最佳实践
  9. 网络安全攻防界的OSCP、CISP-PTE、CISP-PTS、CISP-IRE、CISP-IRS
  10. IDEA如何在手机上运行Android程序