基本门电路逻辑符号.doc

1、基本门电路逻辑符号:1与门(And)或门(OR)非门(not)与非门(nand)或非门(nor) 与或非(xor)2、Quartus II是Altera公司新一代的EDA设计工具,由该公司早先的MAXPLUS II演变而来,3、Quartus II集成开发环境的设计流程 设计输入 约束输入 综合 布局布线 时序分析 仿真 器件编程与配置4、可编程逻辑器件PLD:低密度可编程逻辑器件(LDPLD)高密度可编程逻辑器件(HDPLD)5、EDA中文意思:电子设计自动化,由Electronic、Design、Automation。6、HDL中文意思:硬件描述语言,由Hardware、Description、Language。7、一个电路的HDL模块定义由:关键字module+名字开始,以endmodule结束8、一个电路的HDL模块声明由:模块名字和模块输入输出端口列表。9、模块的端口类型有:输入端口(input)、输出端口(output)、输入/输出双向端口(inout)。10、变量类型:wire线网型、 reg寄存器型、 memory寄存器型。11、由持续赋值语气Assign赋值的变量必须定义:Wire类型12、在Always过程语句中被赋值变量必须定义为:reg类型13、在模块的端口声明部分如何说明总线型多位信号的位宽。Wire[7:0] data;//说明一个8位数据总线data为wire型;Wire[31:0]adder;//说明一个32位地址总线adder为wire型。14、wire类型变量和reg类型变量差别是什么? 除了表示组合逻辑电路中的连接线,reg型变量还可以在时序电路中对应具有状态保持作用电路元件,根本区别就在于:reg型变量在定义时默认的初始值为不定值x,在设计时要求放在always过程语句内部通过过程赋值语句赋予明确的值。如果寄存器变量没有得到新的赋值,它将一直保持原有的值不变。15、LED数码管中分为:共阴极和共阳极。16、阻塞式blocking的操作符 “ = ”非阻塞式non-blocking的操作符 “ <= ” 阻塞赋值和非阻塞赋值的基本区别是:阻塞赋值是顺序执行语句,而非阻塞赋值是并行执行语句。两种语句的含义不同,建模的应用也就不同。 17、2选1选择器 p20 2选1数据选择器 p64module A(P0,P1,S,F); inputP0,P1,S; output F; regF; always@( P0 OR P1 OR S) beginif(S==1’F=P0;elseF=P1; endendmodule 18、1对2数据分配器 p22module A(S,D,Y0,Y1); inputS,D; output Y0,Y1F; assign Y0=D*(~S); assign Y1=D*S;endmodule 19、三人表决器 p107module A(b1,b2,b3,u); input b1,b2,b3; output u; wire[1:0] B; assign B=b1+b2+b3; assign u=(B>=2)?1:0;endmodule 20、七段LED数码管显示电路 p163module qiduan(data_in,//七段数码管显示电路的输入,对应图2.1.4中的in3-in0,in3对应输入的高位data_out);//七段数码管显示电路的输出,对应图2.1.4中的g-a,g对应输出的高位input[3:0] data_in;//输入输出端口定义output[6:0] data_out;reg[6:0] data_out;//使用always建模组合逻辑需要定义输出为寄存器always@(data_in) //输入为data_inbegin case(data_in)//输入的不同情况 4'b0000: data_out = 7'b0111111; // 0 4'b0001: data_out = 7'b0000110; // 1 4'b0010: data_out = 7'b1011011; // 2 4'b0011: data_out = 7'b1001111; // 3 4'b0100: data_out = 7'b1100110; // 4 4'b0101: data_out = 7'b1101101; // 5 4'b0110: data_ou

reg类型变量综合电路_基本门电路逻辑符号.doc相关推荐

  1. reg类型变量综合电路_SystemVerilog的一些可综合实用技巧

    Not True! SystemVerilog was designed to enhance both the design and verification capabilities of tra ...

  2. reg类型变量综合电路_2014年PLD习题集(含参考答案)数字系统设计

    下载word有问题请添加微信号:xuecool-com或QQ:370150219处理(尽可能给您提供完整文档),感谢您的支持与谅解. 第1章习题 1.1 名词解释 PROM CPLD FPGA ASI ...

  3. reg类型变量综合电路_Verilog中reg型变量的综合效果(待补充)

    在Verilog中最常用的两种数据类型是wire和reg,一般来说,wire型指定的数据和网线通过组合逻辑实现,而reg型指定的数据不一定用寄存器实现.也就是说reg型数据不一定综合成寄存器.下面的例 ...

  4. reg类型变量综合电路_Verilog - HDL考核试卷一及答案 -

    河北大学课程考核试卷 2008--2009学年第一学期 2006级 电气类专业(类) 考核科目EDA技术 课程类别 必修 考核类型 考查 考核方式闭卷 类别 A 一. 选择题: 1.下列标示符哪些是合 ...

  5. reg类型变量综合电路_verilog中reg和wire类型的区别

    verilog 中 reg 和 wire 类型的区别 reg 相当于存储单元, wire 相当于物理连线 Verilog 中变量的物理数据分为线型和寄存器型.这两种类型的变量在定义时要 设置位宽,缺省 ...

  6. reg型变量怎么赋值_两个always块同时对一个reg类型变量赋值的问题

    两个always块同时对一个reg类型变量赋值的问题 [复制链接] 两个always块同时对一个reg类型变量赋值的问题 问题描述: pps信号为秒脉冲信号(GPS授时模块中的同步秒脉冲),每个一秒钟 ...

  7. 计算机电路基础综合题,计算机电路基础作业考试习题.doc

    计算机电路基础作业考试习题.doc (13页) 本资源提供全文预览,点击全文预览即可全文预览,如果喜欢文档就下载吧,查找使用更方便哦! 19.90 积分 一.简答题(6小题)1.共射极放大电路如图1 ...

  8. FPGA数字系统设计(8)——可综合电路及状态机

    一.可综合电路 行为级可综合语法和数据流级语法合在一起被称为RTL级,该级别的模型是可以被综合成电路进而实现的. 1.module 和endmodule 作为模块声明的关键字,必然是可以被综合的. 2 ...

  9. 用jk触发器构成二分频电路_如何用下沿触发JK触发器设计一个同步二,四分频电路?...

    展开全部 沿触发的JK触发器设计一同32313133353236313431303231363533e78988e69d8331333431353962步时序电路,其状态图如下图所示,要求电路使用的门 ...

  10. 三极管常用电路_三极管偏置电路

    三极管常用电路_三极管偏置电路 1.三极管偏置电路_固定偏置电路 如上图为三极管常用电路中的固定偏置电路:Rb的作用是用来控制晶体管的基极电路Ib,Ib称为偏流,Rb称为偏流电阻或偏置电阻.改变Rb的 ...

最新文章

  1. FTP软件VSFTP配置文件详解
  2. Linux SSH远程文件/目录传输命令scp
  3. Mysql-linux下密码修改,忘记密码修改,超级管理用户修改
  4. 高效的深度学习:将深度学习模型变得更小、更快、更好的综述
  5. springboot使用@Scheduled作定时任务详细用法
  6. 近300万记录的论坛还用这个分页存储过程
  7. 基于 vue2 导航栏透明渐变
  8. Python网络爬虫开发实战使用XPath,xpath的多种用法
  9. Asp.net在线备份、压缩和修复Access数据库
  10. Android笔记 隐式意图demo
  11. 配合Opencv2.4.9,CMake3.12.1和VS2010在win10下构建项目踩坑记录
  12. 本页不但包含安全的内容,也包含不安全的内容
  13. 用 1 行 Python 代码实现 FTP 服务器 - Pyftpdlib
  14. ask调制流程图_一种基于MATLAB的ASK调制仿真方法
  15. 一文掌握秩和比综合评价法
  16. java模拟加速匀速减速_Javascript模拟加速运动与减速运动代码分享
  17. @RequestBody、 @RequestParam 、 @PathVariable 和 @Vaild 注解
  18. 什么是带状线、微带线?参考平面与传输线那些事儿
  19. android闹钟小案例之知识点总结
  20. AntiVir德国小红伞杀毒使用

热门文章

  1. VB获取一个文件夹中指定的文件或文件夹名称到列表
  2. 数据库基础学习(思维导图)
  3. 【干货满满】初级银行从业考试《个人理财》重点梳理
  4. python读取odb_python提取Abaqus软件odb文件位移数据(方法1)
  5. 正确的配置Android开发环境-让你的C盘不在爆红
  6. netapp linux ntfs,netapp存储配置练习_CIFS.doc
  7. 计算机盘快捷键,电脑键盘快捷键全解
  8. 【JavaScript】新浪微博批量删除脚本
  9. 联想开机启动项按哪个_联想笔记本进bios按什么键
  10. Spring Boot 2020 官方基础68课程第十六个 Securing a Web Application