晶联讯JLX12864G-086-PC-3S LCD显示屏 C51驱动代码

//7.1.2 例程:以下为串行方式显示汉字及 ASCII 字符的例程:
//液晶屏型号:JLX12864G-086-PC-3S
//接口:串行
//中文字库:带中文字库 IC:JLX-GB2312,竖置横排
//驱动 IC:UC1701X(与 ST7565R 兼容)
//主板:89C516-001 老主板
//单片机:51 系列
//版权所有:深圳市晶联讯电子有限公司:网址  http://www.jlxlcd.cn;
//编程:叶建人,2013 年 10 月 11 日    #include <reg52.H>
#include <intrins.h> sbit lcd_sclk = P3 ^ 2;  //接口定义:lcd_sclk 就是 LCD 的 SCLK
sbit lcd_sid = P3 ^ 1;   //接口定义:lcd_sid 就是 LCD 的 SDA
sbit lcd_rs = P3 ^ 0;    //接口定义:lcd_rs 就是 LCD 的 RS,也叫“CD”
sbit lcd_reset = P1 ^ 0; //接口定义:lcd_reset 就是 LCD 的 RESET
sbit lcd_cs1 = P1 ^ 1;   //接口定义:lcd_cs1 就是 LCD 的 CS1 sbit Rom_IN = P1 ^ 2;    //字库 IC 接口定义:Rom_IN 就是字库 IC 的 SI
sbit Rom_OUT = P1 ^ 3;   //字库 IC 接口定义:Rom_OUT 就是字库 IC 的 SO
sbit Rom_SCK = P1 ^ 4;   //字库 IC 接口定义:Rom_SCK 就是字库 IC 的 SCK
sbit Rom_CS = P1 ^ 5;    //字库 IC 接口定义 Rom_CS 就是字库 IC 的 CS# sbit key = P2 ^ 0;  //定义一个按键
#define uchar unsigned char
#define uint unsigned int
#define ulong unsigned long uchar code bmp1[];uchar code jiong1[] = {//--  文字:  囧  -- //--  宋体 12;  此字体下对应的点阵为:宽 x 高=16x16   -- 0x00,0xFE,0x82,0x42,0xA2,0x9E,0x8A,0x82,0x86,0x8A,0xB2,0x62,0x02,0xFE,0x00,0x00,0x00,0x7F,0x40,0x40,0x7F,0x40,0x40,0x40,0x40,0x40,0x7F,0x40,0x40,0x7F,0x00,0x00 };uchar code lei1[] = {//--  文字:  畾  -- //--  宋体 12;  此字体下对应的点阵为:宽 x 高=16x16   -- 0x80,0x80,0x80,0xBF,0xA5,0xA5,0xA5,0x3F,0xA5,0xA5,0xA5,0xBF,0x80,0x80,0x80,0x00,0x7F,0x24,0x24,0x3F,0x24,0x24,0x7F,0x00,0x7F,0x24,0x24,0x3F,0x24,0x24,0x7F,0x00 };
//延时
void delay(int n_ms)
{int j, k;for (j = 0; j < n_ms; j++)for (k = 0; k < 110; k++);
}
//短延时
void delay_us(int n_us)
{int j, k;for (j = 0; j < n_us; j++)for (k = 0; k < 1; k++);
}
//等待一个按键,我的主板是用 P2.0 与 GND 之间接一个按键
void waitkey()
{
repeat:if (key == 1) goto repeat;elsedelay(600);
}
//写指令到 LCD 模块
void transfer_command_lcd(int data1)
{char i;lcd_cs1 = 0;lcd_rs = 0;for (i = 0; i < 8; i++){lcd_sclk = 0;    //delay_us(10);  //加少量延时   if (data1 & 0x80)lcd_sid = 1;elselcd_sid = 0;lcd_sclk = 1;   //delay_us(10);  //加少量延时    data1 = data1 <<= 1;}lcd_cs1 = 1;
}
//写数据到 LCD 模块
void transfer_data_lcd(int data1)
{char i;lcd_cs1 = 0;lcd_rs = 1;for (i = 0; i < 8; i++){lcd_sclk = 0;if (data1 & 0x80)lcd_sid = 1;elselcd_sid = 0;lcd_sclk = 1;data1 = data1 <<= 1;}lcd_cs1 = 1;
}
//LCD 模块初始化
void initial_lcd()
{lcd_reset = 0;          //低电平复位  delay(100);lcd_reset = 1;       //复位完毕  delay(100);transfer_command_lcd(0xe2);  //软复位  delay(5);transfer_command_lcd(0x2c);  //升压步聚 1  delay(50);transfer_command_lcd(0x2e);  //升压步聚 2  delay(50);transfer_command_lcd(0x2f);  //升压步聚 3 delay(5);transfer_command_lcd(0x23);  //粗调对比度,可设置范围 0x20~0x27  transfer_command_lcd(0x81);  //微调对比度  transfer_command_lcd(0x28);  //微调对比度的值,可设置范围 0x00~0x3f  transfer_command_lcd(0xa2);  //1/9 偏压比(bias)  transfer_command_lcd(0xc8);  //行扫描顺序:从上到下  transfer_command_lcd(0xa0);  //列扫描顺序:从左到右  transfer_command_lcd(0x40);  //起始行:第一行开始  transfer_command_lcd(0xaf);  //开显示
}
void lcd_address(uint page, uint column)
{column = column - 0x01;transfer_command_lcd(0xb0 + page - 1);   //设置页地址,每 8 行为一页,全屏共 64 行,被分成 8 页 transfer_command_lcd(0x10 + (column >> 4 & 0x0f)); //设置列地址的高 4 位  transfer_command_lcd(column & 0x0f); //设置列地址的低 4 位
}
//全屏清屏
void clear_screen()
{unsigned char i, j;for (i = 0; i<9; i++){transfer_command_lcd(0xb0 + i);transfer_command_lcd(0x10);transfer_command_lcd(0x00);for (j = 0; j<132; j++){transfer_data_lcd(0x00);}}
}
//显示 128x64 点阵图像
void display_128x64(uchar *dp)
{uint i, j;for (j = 0; j<8; j++){lcd_address(j + 1, 1);for (i = 0; i<128; i++){transfer_data_lcd(*dp);     //写数据到 LCD,每写完一个 8 位的数据后列地址自动加 1    dp++;}}
}
//显示 16x16 点阵图像、汉字、生僻字或 16x16 点阵的其他图标
void display_graphic_16x16(uchar page, uchar column, uchar *dp)
{uint i, j;for (j = 0; j<2; j++){lcd_address(page + j, column);for (i = 0; i<16; i++){transfer_data_lcd(*dp);     //写数据到 LCD,每写完一个 8 位的数据后列地址自动加 1    dp++;}}
}
//显示 8x16 点阵图像、ASCII, 或 8x16 点阵的自造字符、其他图标
void display_graphic_8x16(uchar page, uchar column, uchar *dp)
{uint i, j;for (j = 0; j<2; j++){lcd_address(page + j, column);for (i = 0; i<8; i++){transfer_data_lcd(*dp);     //写数据到 LCD,每写完一个 8 位的数据后列地址自动加 1   dp++;}}
}//显示 5X8 点阵图像、ASCII, 或 5x8 点阵的自造字符、其他图标
void display_graphic_5x8(uchar page, uchar column, uchar *dp)
{uint i;lcd_address(page, column);for (i = 0; i<6; i++){transfer_data_lcd(*dp);dp++;}
}//送指令到晶联讯字库 IC
void send_command_to_ROM(uchar datu)
{uchar i;for (i = 0; i<8; i++){Rom_SCK = 0;delay_us(10);if (datu & 0x80)Rom_IN = 1;elseRom_IN = 0;datu = datu << 1;Rom_SCK = 1;delay_us(10);}
}
//从晶联讯字库 IC 中取汉字或字符数据(1 个字节)
static uchar get_data_from_ROM()
{uchar i;uchar ret_data = 0;for (i = 0; i<8; i++){Rom_OUT = 1;Rom_SCK = 0;//delay_us(1);  ret_data = ret_data << 1;if (Rom_OUT)ret_data = ret_data + 1;elseret_data = ret_data + 0;Rom_SCK = 1;//delay_us(1);  }return(ret_data);
}
//从指定地址读出数据写到液晶屏指定(page,column)座标中
void get_and_write_16x16(ulong fontaddr, uchar page, uchar column)
{uchar i, j, disp_data;Rom_CS = 0;send_command_to_ROM(0x03);send_command_to_ROM((fontaddr & 0xff0000) >> 16);  //地址的高 8 位,共 24 位  send_command_to_ROM((fontaddr & 0xff00) >> 8);   //地址的中 8 位,共 24 位  send_command_to_ROM(fontaddr & 0xff);     //地址的低 8 位,共 24 位  for (j = 0; j<2; j++){lcd_address(page + j, column);for (i = 0; i<16; i++){disp_data = get_data_from_ROM();transfer_data_lcd(disp_data); //写数据到 LCD,每写完 1 字节的数据后列地址自动加 1   }}Rom_CS = 1;
}
//从指定地址读出数据写到液晶屏指定(page,column)座标中
void get_and_write_8x16(ulong fontaddr, uchar page, uchar column)
{uchar i, j, disp_data;Rom_CS = 0;send_command_to_ROM(0x03);send_command_to_ROM((fontaddr & 0xff0000) >> 16);  //地址的高 8 位,共 24 位  send_command_to_ROM((fontaddr & 0xff00) >> 8);   //地址的中 8 位,共 24 位  send_command_to_ROM(fontaddr & 0xff);     //地址的低 8 位,共 24 位  for (j = 0; j<2; j++){lcd_address(page + j, column);for (i = 0; i<8; i++){disp_data = get_data_from_ROM();transfer_data_lcd(disp_data); //写数据到 LCD,每写完 1 字节的数据后列地址自动加 1   }}Rom_CS = 1;
}
//从指定地址读出数据写到液晶屏指定(page,column)座标中
void get_and_write_5x8(ulong fontaddr, uchar page, uchar column)
{uchar i, disp_data;Rom_CS = 0;send_command_to_ROM(0x03);send_command_to_ROM((fontaddr & 0xff0000) >> 16);  //地址的高 8 位,共 24 位  send_command_to_ROM((fontaddr & 0xff00) >> 8);   //地址的中 8 位,共 24 位  send_command_to_ROM(fontaddr & 0xff);     //地址的低 8 位,共 24 位  lcd_address(page, column);for (i = 0; i<5; i++){disp_data = get_data_from_ROM();transfer_data_lcd(disp_data); //写数据到 LCD,每写完 1 字节的数据后列地址自动加 1  }Rom_CS = 1;
}
//****************************************************************
ulong  fontaddr = 0;
void display_GB2312_string(uchar page, uchar column, uchar *text)
{uchar i = 0;while ((text[i]>0x00)){if (((text[i] >= 0xb0) && (text[i] <= 0xf7)) && (text[i + 1] >= 0xa1)){//国标简体(GB2312)汉字在晶联讯字库 IC 中的地址由以下公式来计算:    //Address = ((MSB - 0xB0) * 94 + (LSB - 0xA1)+ 846)*32+ BaseAdd;BaseAdd=0    //由于担心 8 位单片机有乘法溢出问题,所以分三部取地址    fontaddr = (text[i] - 0xb0) * 94;fontaddr += (text[i + 1] - 0xa1) + 846;fontaddr = (ulong)(fontaddr * 32);get_and_write_16x16(fontaddr, page, column);  //从指定地址读出数据写到液晶屏指定(page,column)座标中    i += 2;column += 16;}else if (((text[i] >= 0xa1) && (text[i] <= 0xa3)) && (text[i + 1] >= 0xa1)){          //国标简体(GB2312)15x16 点的字符在晶联讯字库 IC 中的地址由以下公式来计算:    //Address = ((MSB - 0xa1) * 94 + (LSB - 0xA1))*32+ BaseAdd;BaseAdd=0    //由于担心 8 位单片机有乘法溢出问题,所以分三部取地址    fontaddr = (text[i] - 0xa1) * 94;fontaddr += (text[i + 1] - 0xa1);fontaddr = (ulong)(fontaddr * 32);get_and_write_16x16(fontaddr, page, column);  //从指定地址读出数据写到液晶屏指定(page,column)座标中    i += 2;column += 16;}else if ((text[i] >= 0x20) && (text[i] <= 0x7e)){fontaddr = (text[i] - 0x20);fontaddr = (unsigned long)(fontaddr * 16);fontaddr = (unsigned long)(fontaddr + 0x3cf80);get_and_write_8x16(fontaddr, page, column);  //从指定地址读出数据写到液晶屏指定(page,column)座标中   i += 1;column += 8;}elsei++;}
}
void display_string_5x8(uchar page, uchar column, uchar *text)
{unsigned char i = 0;while ((text[i]>0x00)){if ((text[i] >= 0x20) && (text[i] <= 0x7e)){fontaddr = (text[i] - 0x20);fontaddr = (unsigned long)(fontaddr * 8);fontaddr = (unsigned long)(fontaddr + 0x3bfc0);get_and_write_5x8(fontaddr, page, column);  //从指定地址读出数据写到液晶屏指定(page,column)座标中 i += 1;column += 6;}elsei++;}
}//===============main program===================
void main(void)
{while (1){initial_lcd();clear_screen();//clear all dots   display_128x64(bmp1);delay(2000);display_GB2312_string(1, 1, "12864,带中文字库"); //在第 1 页,第 1 列,显示一串 16x16 点阵汉字或 8x16 的 ASCII 字   display_GB2312_string(3, 1, "16X16 简体汉字库,");  //显示一串 16x16 点阵汉字或 8x16 的 ASCII 字.以下雷同   display_GB2312_string(5, 1, "或 8X16 点阵 ASCII,");display_GB2312_string(7, 1, "或 5x8 点阵 ASCII 码");delay(4000);clear_screen();display_GB2312_string(1, 1, "晶联讯成立于二零");display_GB2312_string(3, 1, "零四年十一月七日");display_GB2312_string(5, 1, "主要生产液晶模块");display_GB2312_string(7, 1, "品质至上真诚服务");delay(4000);display_GB2312_string(1, 1, "GB2312 简体字库及");display_GB2312_string(3, 1, "有图型功能,可自");display_GB2312_string(5, 1, "编大字或图像或生");display_GB2312_string(7, 1, "僻字,例如:    ");display_graphic_16x16(7, 97, jiong1);     //在第 7 页,第 81列显示单个自编生僻汉字“囧”   display_graphic_16x16(7, 113, lei1);     //显示单个自编生僻汉字"畾“   delay(4000);   clear_screen();display_GB2312_string(1, 1, "<!@#$%^&*()_-+]/"); //在第 1 页,第 1 列,显示一串 16x16 点阵汉字或 8*16 的 ASCII 字  display_string_5x8(3, 1, "<!@#$%^&*()_-+]/;.,?[");//在第 3 页,第 1 列,显示一串 5x8 点阵的 ASCII 字   display_string_5x8(4, 1, "JLX electronics Co., ");//显示一串 5x8 点阵的 ASCII 字   display_string_5x8(5, 1, "Ltd. established at  ");//显示一串 5x8 点阵的 ASCII 字    display_string_5x8(6, 1, "year 2004.Focus LCM. ");//显示一串 5x8 点阵的 ASCII 字   display_string_5x8(7, 1, "TEL:0755-29784961    ");//显示一串 5x8 点阵的 ASCII 字  display_string_5x8(8, 1, "FAX:0755-29784964    ");//显示一串 5x8 点阵的 ASCII 字   delay(4000);display_GB2312_string(1, 1, "啊阿埃挨哎唉哀皑"); //在第 1 页,第 1 列,显示一串 16x16 点阵汉字或 8x16 的 ASCII 字   display_GB2312_string(3, 1, "癌蔼矮艾碍爱隘鞍");  //显示一串 16x16 点阵汉字或 8x16 的 ASCII 字.以下雷同   display_GB2312_string(5, 1, "氨安俺按暗岸胺案");display_GB2312_string(7, 1, "肮昂盎凹敖熬翱袄");delay(4000);display_GB2312_string(1, 1, "鬟鬣麽麾縻麂麇麈");display_GB2312_string(3, 1, "麋麒鏖麝麟黛黜黝");display_GB2312_string(5, 1, "黠黟黢黩黧黥黪黯");display_GB2312_string(7, 1, "鼢鼬鼯鼹鼷鼽鼾齄");delay(4000);}
}
uchar code bmp1[] = {//--  调入了一幅图像:D:\我的文档\My Pictures\12864-555.bmp  -- //--  宽度 x 高度=128x64  -- 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x80,0x80,0xC0,0xC0,0xC0,0xC0,0xE0,0x60,0xE0,0xE0,0xE0,0xE0,0x60,0x60,0x60,0x60,0x60,0x60,0x60,0xE0,0xE0,0xE0,0xE0,0xC0,0xC0,0xC0,0xC0,0x80,0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x80,0xC0,0xE0,0x70,0x38,0x18,0x1C,0x0C,0x0E,0x07,0x03,0x03,0x01,0x81,0xE0,0x78,0x1C,0x0E,0x07,0x03,0x01,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x01,0x03,0x07,0x0E,0x1C,0x79,0xE1,0x83,0x03,0x07,0x0E,0x0C,0x1C,0x18,0x38,0x70,0xE0,0xC0,0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x80,0x80,0xC0,0xC0,0xC0,0xC0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xC0,0xC0,0xC0,0xC0,0xC0,0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x80,0xF0,0x78,0x1E,0x07,0x03,0x81,0x80,0xC0,0xC0,0xC0,0xE0,0x60,0x60,0x60,0x70,0xF0,0xFE,0x3F,0x19,0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x38,0x31,0x3F,0xFE,0xF0,0x60,0x60,0xE0,0xC0,0xC0,0xC0,0x80,0x81,0x03,0x07,0x1E,0x78,0xF0,0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0xC0,0xFC,0xFF,0x7F,0x07,0x0F,0x1F,0x3D,0x79,0x71,0xF0,0xE0,0xC0,0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x80,0x80,0xC0,0xE0,0xF0,0x71,0x79,0x3D,0x1F,0x0F,0xFF,0xFE,0xFC,0x00,0x00,0x00,0x00,0x00,0x80,0xFE,0xFF,0xF9,0x1C,0x0E,0x07,0x03,0x03,0x01,0x01,0x00,0x00,0x00,0x00,0x00,0x00,0xFF,0xFF,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0xFF,0xFF,0x00,0x00,0x00,0x00,0x01,0x01,0x03,0x03,0x07,0x0E,0x1C,0xF9,0xFF,0xFE,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0xFF,0xFF,0xFF,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x01,0x03,0x07,0x0F,0x0E,0x9E,0xFC,0xF8,0xF0,0xE0,0xC0,0xC0,0x80,0x00,0x00,0x00,0x00,0x00,0x80,0xC0,0xC0,0xE0,0xF0,0xF8,0xF8,0xFC,0x9E,0x0F,0x07,0x03,0x03,0x01,0x00,0x00,0x00,0x00,0x00,0x00,0xFF,0xFF,0xFF,0x00,0x00,0x00,0x00,0x00,0x00,0x3F,0xFF,0xDF,0x38,0x70,0xE0,0xC0,0xC0,0x80,0x80,0x00,0x00,0x00,0x00,0x00,0x00,0xFF,0xFF,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0xFF,0xFF,0x00,0x00,0x00,0x00,0x80,0x80,0xC0,0xC0,0xE0,0x70,0x38,0xDF,0xFF,0x3F,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x7F,0xFF,0xFF,0x00,0x00,0x00,0x00,0x00,0x80,0xC0,0xE0,0xF0,0x78,0x3C,0x1E,0x0F,0x07,0x03,0x01,0x00,0x01,0x01,0x03,0x07,0x0F,0x1E,0x1E,0x0E,0x0F,0x07,0x03,0x01,0x01,0x00,0x01,0x01,0x03,0x07,0x0F,0x1E,0x3C,0x78,0xF0,0xE0,0xC0,0x80,0x00,0x00,0x00,0xFF,0xFF,0xFF,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x07,0x0F,0x3C,0x70,0xE0,0xC1,0x81,0x03,0x03,0x03,0x07,0x06,0x06,0x06,0x0E,0x0F,0x7F,0xFC,0x98,0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x0C,0x8C,0xFC,0x7F,0x0F,0x06,0x06,0x07,0x03,0x03,0x03,0x81,0xC1,0xE0,0x70,0x3C,0x0F,0x07,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x0F,0x1F,0x3F,0x7C,0xFC,0xFE,0xEF,0xE7,0xE3,0xE1,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE0,0xE1,0xE3,0xE7,0xEF,0xFE,0x7C,0x3F,0x1F,0x0F,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x01,0x03,0x07,0x0E,0x0C,0x1C,0x18,0x38,0x70,0x60,0xE0,0xC0,0xC1,0x87,0x9E,0xB8,0xF0,0xE0,0xC0,0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x80,0xC0,0xE0,0xF0,0xB8,0xDE,0xC7,0xE1,0x60,0x70,0x38,0x18,0x1C,0x0C,0x0E,0x07,0x03,0x01,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x01,0x01,0x01,0x01,0x03,0x03,0x03,0x03,0x03,0x07,0x07,0x07,0x07,0x07,0x07,0x07,0x07,0x07,0x03,0x03,0x03,0x01,0x01,0x01,0x01,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,};

晶联讯JLX12864G-086-PC-3S LCD显示屏 C51驱动代码相关推荐

  1. 1.54寸墨水屏模块 E-Paper电子纸显示屏STM32C8T6驱动代码以及调试过程

    目录 如何点亮屏幕? 例程代码拆分 DEV_Module_Init(); 模块引脚初始化 EPD_1IN54_V2_Init(); 寄存器初始化 EPD_1IN54_V2_Clear(); 清屏 EP ...

  2. tc溜溜865手机投屏卡_游戏物理外挂再升级!腾讯黑鲨游戏手机3S开箱体验

    视频: 自从黑鲨在国内推出首款游戏手机后,游戏手机厂商现在是越来越多了,而作为最早打造游戏手机的厂商,黑鲨无疑有很多的优势,因为在硬件性能与其他游戏手机差不多的情况下,黑鲨还拥有小米MIUI系统的加持 ...

  3. lcd图片转二进制工具_辽宁2.8寸LCD屏价格,测距仪LCD显示屏_思迈微

    首页 > 新闻中心 发布时间:2020-11-15 08:27:09 导读:思迈微为您提供辽宁寸LCD屏价格,测距仪LCD显示屏的相关知识与详情: 以上便是对LED点阵式显示屏的软硬件系统.组成 ...

  4. 移动端点击拉起输入_没广告、无捆绑、真清流!讯飞输入法PC版评测:跨屏语音动口不动手...

    原标题:没广告.无捆绑.真清流!讯飞输入法PC版评测:跨屏语音动口不动手 一.前言:等待五年 讯飞输入法PC版终于回来了 大家所熟知的讯飞输入法,最早是在Android和iOS等移动端起家,并凭借强大 ...

  5. 腾讯正式下线PC版QQ秀 网友:我的时代落幕了

    11月9日消息,在最新的 PC版QQ9.5.2 版本中,腾讯正式下线PC版QQ秀,用户将无法再看到自己的QQ秀装扮形象. QQ秀最早出现在 QQ2000C Bulid 1230 beta2patch ...

  6. TFT LCD显示屏在阳光下可读

    显示屏作为公共场所广泛使用的电器,高亮度液晶显示屏越来越被人们所选择. 那么为什么越来越多的人想选择高亮度TFT LCD 显示屏? 阳光下的可读性显示屏使我们即使在阳光直射下也能看到清晰锐利的图像或视 ...

  7. LCD显示屏选购技巧是什么?

    这是一个智能化时代,每一个生活场景几乎都有用到LCD显示屏,而且LCD与传统CRT相比优点很多,没有高压元器件,所以其不至于出现由于高压导致的x射线超标的情况,除此之外耗电量和体积小,省电节能,辐射小 ...

  8. 使用Arduino连接一个LCD显示屏

    本文转载自https://www.yiboard.com/thread-1086-1-1.html 使用Arduino连接一个LCD显示屏,为您的项目提供显示. 将字符LCD显示屏连接到Arduino ...

  9. LCD显示屏与OLED屏幕对比分析

    LCD和OLED是两种完全不同的显示技术,目前均在智能手机和平板电视领域被广泛应用.目前大多数用户在这两种显示面板产品中选择,到底该选哪种产品,小编告诉你LCD和OLED二者之间的差异,并且告诉你在需 ...

最新文章

  1. 5GS 协议栈 — PFCP 协议 — QER QoS 实施规则
  2. OGNL表达式语言中的#和$的区别
  3. cURL error 60: SSL certificate problem: unable to get local issuer certificate 解决思路
  4. python进行数据分析
  5. 2015国内征信机构大数据整合能力对比
  6. 我的助理辞职了!——给不听话的下属看看~
  7. scala中def_def关键字以及Scala中的示例
  8. 2020直播电商研究报告
  9. 设置RadioButton控件中文本的位置.
  10. 关于php正则表达式得选择题,经典PHP笔试题
  11. openwrtx 安装无线_面向工业物联网的远距离无线输电技术
  12. DevExpress 汉化(简单、实用、快速) 转
  13. 清华大学计算机音乐,校长杯十强故事汇 || DeepMusic:音乐也能如此“深邃”
  14. [动态树] HDOJ 5467 Clarke and hunger games
  15. 为什么很多公司都在招测试开发?
  16. 波数与波长 matlab,波长与波数的关系为.PPT
  17. 华为ensp 401 错误解决方案
  18. 【Windows10】电脑双屏后无法调节屏幕亮度?解决方法
  19. python职业发展规划书范文_职业发展规划书精选范文
  20. python基础-02-input使用

热门文章

  1. Flow-3D二次开发入门 教程
  2. 神经网络结构搜索 NAS
  3. 线上卖家居股价却涨成妖股 Wayfair低位反弹能否继续拉升?
  4. linux宝塔面板打不开 ssh也连不上,SSH能访问,但是宝塔面板打不开,输入bt命令无任何反应!...
  5. 【JAVA】TCP通信——发弹幕案例
  6. 电路板上的黑色小圆“疙瘩”到底是什么?有什么用?
  7. java 生成随机码 字符数字图片等
  8. 深度学习数据增强数据扩增方法
  9. Linux命令之timeout
  10. Jenkins学习(执行命令路径,脚本上传静态html代码)(一)