VCS+Verdi 安装过程遇到的问题-CentOS7

  • 1.安装流程
    • 1.1文件结构
    • 1.2 创建文件目录
    • 1.3 解压SynopsysInstaller.rar
    • 1.4 安装instller
    • 1.5 安装VCS等
    • 1.6 生成license
    • 1.7 设置环境变量
    • 1.8 激活
    • 1.9 查看是否激活成功
    • 1.10 开机自动激活
  • 2.问题记录
    • 2.1 问题一
    • 2.2 问题二
    • 2.3 激活不成功
  • 3.引用

跟着大佬分享的文章,安装了VCS+VERDI,中间遇到了许多问题,在此记录一下。

1.安装流程

VCS+VERDI的安装流程有很多,根据自己的安装历程在此说明一下。

1.1文件结构

vcs+verdi下载链接。

–vcs-mx_vL-2016.06
–verdi_vL-2016.06-1
–scl_v11.9              #此程序统一管理license等破解文件
–SynopsysInstaller.rar  #此程序用于安装以上3个程序
–scl_keygen             #此文件用于生成license文件

1.2 创建文件目录

mkdir Synopsys
cd Synopsys
mkdir install
mkdir vcs-mx_vL-2016.06
mkdir scl_v11.9
mkdir verdi_vL-2016.06-1

1.3 解压SynopsysInstaller.rar

将SynopsysInstaller.rar 解压到 /Synopsys/install 目录下。
这里看似简单,其实会有一些潜在问题。因为软件安装需要较大空间,最开始我将SynopsysInstaller.rar 解压到了虚拟机通过共享文件夹访问的硬盘里,但是在执行下一步 ./setup.sh时,遇到了问题。最后解压到了虚拟机下的目录得以解决。

1.4 安装instller

cd /Synopsys/install
./SynopsysInstaller_v5.0.run

这一步得到 setup.sh。

1.5 安装VCS等

还是在/Synopsys/install下 ,./setup.sh 命令分别安装VCS VERDI SCL等。
需要注意这里都要安装64位版本,选择linux64/amd64。

cd /Synopsys/install
./setup.sh

1.6 生成license

windows下运行scl_keygen.exe

修改以上几处地方,HOST ID 和HOST NAME的获取命令如下:

hostname     //查询HOST NAME
ifconfig     //查询HOST ID

此外,将scl_keygen/Synopsys.src 文件中所有2020 替换为2030 ,将license日期延长为12-dec-2030,否则后面破解会不成功。

点击Generate,在scl_keygen文件夹中生成名为Synopsys.dat的license文件。将第二行修改为实际安装地址,然后复制到scl_v11.9/admin/license/文件夹下。

1.7 设置环境变量

打开 ~/.bashrc 文件

vim ~/.bashrc

添加如下环境变量

export DVE_HOME=/eda/Synopsys/vcs-mx_vL-2016.06/gui/dve     // 修改为自己的安装目录
export VCS_HOME=/eda/Synopsys/vcs-mx_vL-2016.06             // 修改为自己的安装目录
export VERDI_HOME=/eda/Synopsys/verdi_vL-2016.06-1         // 修改为自己的安装目录
#dve
PATH=$PATH:$VCS_HOME/gui/dve/bin
alias dve="dve -full64"
#VCS
PATH=$PATH:$VCS_HOME/bin
alias vcs="vcs"
#VERDI
PATH=$PATH:$VERDI_HOME/bin
alias verdi="verdi"
#scl
PATH=$PATH:/eda/Synopsys/scl_v11.9/amd64/bin
export VCS_ARCH_OVERRIDE=linux
#LICENCE
export LM_LICENSE_FILE=27000@localhost.localdomain                 //确认host name
alias lmg_synopsys="lmgrd -c /eda/Synopsys/scl_v11.9/license/Synopsys.dat"export PATH

更新.bashrc

source ~/.bashrc

1.8 激活

设置开放端口

firewall-cmd --zone=public --add-port=27000/tcp --permanent
firewall-cmd --reload

执行 lmg_synopsys 进行激活,若提示 bash: ./lmgrd: /lib/ld-lsb.so.3: bad ELF interpreter,输入以下命令安装

yum install redhat-lsb.i686

如下便已激活成功

1.9 查看是否激活成功

执行verdi命令

verdi

若提示error while loading shared libraries:libXss.so.1
可以查询libXss.so.1 库函数

repoquery --nvr --whatprovides libXss.so.1

或直接执行以下命令

sudo yum install libXScrnSaver*

1.10 开机自动激活

切换到root用户,在/etc/rc.d文件夹中打开rc.local文件

cd /etc/rc.d/
vim rc.local

文件中添加以下代码
格式:[lmgrd的路径] -c [Synopsys.dat的路径] exit 0

/Synopsys/instll_all/scl_v11.9/amd64/bin/lmgrd -c /Synopsys/instll_all/scl_v11.9/admin/license/Synopsys.dat
exit 0

为rc.local添加可执行权限

chmod +x rc.local

2.问题记录

2.1 问题一

若提示:bash: ./lmgrd: /lib/ld-lsb.so.3: bad ELF interpreter

yum install redhat-lsb.i686

2.2 问题二

若提示: error while loading shared libraries:libXss.so.1
可以查询libXss.so.1 库函数

repoquery --nvr --whatprovides libXss.so.1

或直接执行以下命令

sudo yum install libXScrnSaver*

2.3 激活不成功

破解时出现以上log,很有可能是生成license文件Synopsys.dat时,日期还是12-dec-2020。修改/scl_keygen/Synopsys.src文件中2020为2030,再次生成license。

此时再次执行lmg_synopsys破解,可能因27000端口占用而报错!
查询端口27000 占用情况

netstat -ap | grep 27000
netstat -lnp | grep 27000

如有占用,杀死进程

kill -9 XXX(看到的占用端口的ID号)

再次激活。

3.引用

1.从零开始VCS+Verdi 安装过程-CentOS7
2.CentOS7安装VCS、Verdi、SCL
3.bash: ./lmgrd: /lib/ld-lsb.so.3: bad ELF interpreter: 没有那个文件或目录
4.VCS、Verdi安装记录
5.故障:error while loading shared libraries: libXss.so.1: cannot open shared object file: No such file or directory
以上,感谢分享!

VCS+Verdi 安装过程遇到的问题-CentOS7相关推荐

  1. 从零开始VCS+Verdi 安装过程

    转载:转载自:从零开始VCS+Verdi 安装过程 主要参考:VCS+Verdi 安装及破解过程(CentOS7)-----FPGA开发 想要一个纯净的系统,所以在虚拟机上直接重新安装了空白的Ubun ...

  2. VCS+Verdi 安装及破解过程(Ubuntu)【1】

    转载自:https://blog.csdn.net/qq_40829605/article/details/85345795,由于系统不一样,中途改了一些东西,不过终于装成功了,分享一下. 简述 这篇 ...

  3. Ubuntu 16.04 VCS+Verdi安装总结

    Linux系统版本以及安装文件版本: -ubuntu 16.04LTS(下载地址:http://releases.ubuntu.com/16.04/) -vcs_2016 -verdi_2016 -s ...

  4. ubuntu VCS+verdi安装教程

    1安装文件下载 百度网盘链接: 链接:https://pan.baidu.com/s/1EWX8PulBncy9bjwW_mySIg 提取码:s5om 文件下载到windows系统上后我们需要把文件上 ...

  5. VCS+Verdi ubuntu18.04安装教程

    本文参考ubuntu VCS+verdi安装教程和VCS.Verdi安装记录,感谢两位博主. 1.下载文件 verdi_vL-2016.06-1 vcs-mx_vL-2016.06 scl_v11.9 ...

  6. VCS+verdi /dve 仿真环境搭建-问题汇总

    Ubuntu 16.04 VCS+Verdi安装总结 - 灰信网(软件开发博客聚合)Ubuntu 16.04 VCS+Verdi安装总结,灰信网,软件开发博客聚合,程序员专属的优秀博客文章阅读平台.h ...

  7. Ubuntu虚拟机安装EDA工具:VCS+Verdi+dve2018方法教程

    上个月刚完成Ubuntu虚拟机的安装,本教程的基础是你已经安装好了Ubuntu的虚拟机,最好是和笔者版本接近的Ubuntu,具体安装方法已在之前的文章中介绍过了: https://blog.csdn. ...

  8. WSL安装ubuntu18.04+2016VCS+Verdi——记录安装过程错误

    前言: 安装过程花了一个星期,最开始是wsl的图形化界面一直没搞出来,等图形化界面安装好之后,安装vcs和verdi的过程又是一波三折.(这段可不看,总结一下自己踩过的坑) 1.最开始装的ubuntu ...

  9. ubuntu18.04安装VCS+verdi错误集锦

    文章目录 文件清单 步骤 错误1:verdi启动错误 错误2 :启动vcs错误 错误3 lmg_vcs错误 错误4 启动dve报错,提示需要-mode64 错误5 启动VCS错误 错误6 执行vcs错 ...

最新文章

  1. *27.硬实时和软实时
  2. 如何给Wordpress安装插件
  3. SpringBoot启动出现java.sql.SQLNonTransientConnectionException: CLIENT_PLUGIN_AUTH is required
  4. linux的安装方式(一)
  5. 苹果或已放弃3月发布廉价新iPhone;贾跃亭回应家人巨额索赔;微软不再继续开发 Visual Basic | 极客头条...
  6. Android应用开发——系统自带样式Android:theme
  7. 作为技术人为什么想创业
  8. Python学习手册(第4版).pdf
  9. Repast仿真学习
  10. 如何提高意志力如何坚持每天学习
  11. 基于Node.js+Electron+CPP(DLL)的Windows桌面应用程序(自助终端)开发简介
  12. mysql对韵母分组,基于MySQL的中文发音查询的元级实现
  13. 开水果店如何进水果,开水果店进的应季水果有哪些
  14. Python 编辑器哪个好用
  15. 物联网应用平台开发——项目总结报告
  16. 电子元器件篇—三极管
  17. 用HTML+CSS简单仿制了一个bilibili电脑界面⚆_⚆(做着玩的)
  18. FT4232 USB-SPI python调试笔记
  19. 如何在客户端避免繁冗的服务器GUID定义及导入?
  20. 大数据周会-本周学习内容总结06

热门文章

  1. Linux基础----文件管理、用户管理、用户权限
  2. jquery easyui二次开发总结(二)
  3. 央行房贷新政难落地:上海四大行按基准利率执行
  4. jy-12-SPRINGMYBATIS02——云笔记02-刘苍松
  5. 你目前在用的 RSS 服务还满意吗,赶紧进来手把手教你自建一个私有的 RSS 服务器!...
  6. linux下,matplotlib遇到的相关问题以及解决方法
  7. 面试部分梳理 - 计算机网络
  8. java 计算行驶里程,js根据经纬度换算行驶里程
  9. Vue+Element-Ui项目中使用qrcodejs2将链接生成二维码
  10. Aptana Studio3汉化方式