如果protues用各种方法打开都打不开,一直处于下图状态

等多久都打不开,是因为电脑没插电源,插上电源秒开,如果是台式出现这种问题我就不清楚了,如果没带电脑充电器,可以先断网,试试多次点击要打开的工程文件,记得在任务管理器关掉之前尝试打开却没打开成功的proteus程序。

我点了很多次后,在任务管理器里发现有一栏proteus下面有分栏,里面是工程文件几个字,然后我点那个就打开了。

protues打不开问题相关推荐

  1. 要做单片机课课设的快看过来1:KEIL安装以及C51环境搭建和Protues安装保姆教程

    文章目录 前言 一.准备工作 1.安装包以及注册机的获取 2.系统用户名的检查 二.MDK安装与破解 1.安装keil a.关闭系统的防火墙,双击安装. b.慢慢等待 2.安装pack包 3.破解MD ...

  2. 基于51单片机PT100热电偶AD转换protues仿真设计

    基于51单片机PT100热电偶AD转换protues仿真设计 (源码+仿真) 仿真原版本:proteus 7.8 程序编译器:keil 4/keil 5 编程语言:C语言 设计编号:S0023 这里写 ...

  3. Protues卸载后如何重新安装

    以Protues8.10为例 如果出现了类似以下警告: 则说明你的安装路径发生了变更,需要进行更改才能正常使用. 修改步骤如下:    首先打开Protues软件,点开软件左上角的System--&g ...

  4. 51单片机16×16点阵led屏滚动显示+protues仿真+按键功能(史上最全)

    文章目录 前言 一.protues仿真 安装软件 绘制原理图 二.keil程序编写 1. 三.仿真示例 前言 本次任务:基于protues仿真实现at89c51控制16×16点阵led屏实现流水文字滚 ...

  5. protues仿真中12864液晶屏串行编程的感想(从实际硬件驱动芯片 ST7565R到仿真硬件驱动芯片SED1565)

    因为疫情的原因,没办法回学校拿毕业设计,自然也就没办法调试,虽然硬件电路都搭好了,但没法调试也就意味着不知道程序编写是否有问题,所以就想着在protues上把硬件电路搭起来调试程序,因为单片机用的是s ...

  6. 51单片机测量脉冲频率C程序及protues仿真结果精确

    测定脉冲频率,附带部分PROTUES仿真结果和完整C语言程序,对于初学者或着急使用的电子爱好者来说,软件硬件结合,十分方便移植.仿真结果十分精确,实际应用中可能会存在少许误差,通过相应的电路处理,可以 ...

  7. 简单的红绿灯c语言程序,基于Protues仿真的简单交通信号灯附C语言程序

    简单的交通信号灯实现 本文中主要利用89C52单片机实现一个简单的交通信号灯系统,代码编写借助keil软件编写,再结合protues软件进行仿真.基本实现简单的交通信号等功能. 一.代码如下 #inc ...

  8. 苹果设备iphone,ipad,macbook网络连接慢,开机开什么卡什么,一步解决

    苹果电脑网络连接慢,开机开什么卡什么??? 网络上的方法一种种,没有一个适用的? 如果你的macbook也是打开就没网,但有一些软件也能用,就是浏览器加载跑条儿,不妨试试! 系统偏好设置-网络-高级- ...

  9. 华为热设计工程师待遇_华为给985毕业生开2万元的工资,是侮辱价?还是不自量力?...

    最近这两天,华为又一次登上了热搜榜,原因在于上海某985高校的毕业生发的一个帖子,在这个帖子中,这位同学分享到:上海某985,校招,华为cbg,计算机视觉方向,给14级20K,侮辱价已拒. 简单的来说 ...

  10. android 快速点击开启某功能(不如快速点击打开开发者模式)

    今天加班找手机开发者模式,点击几次出现了,趁现在忙完了,自己随手也写一个这个 快速点击的功能 开代码把很简单的 package com.hly.mydemo;import android.os.Bun ...

最新文章

  1. C/C++利用三元组实现稀疏矩阵运算
  2. kibana 更新 索引模式_elasticsearch – 如何在kibana中自动配置索引模式
  3. Codeforces 494E. Sharti
  4. [BOI2007] Mokia
  5. 使用MetaPost绘制流程图
  6. nagios监控之(监控配置)
  7. 【转】C#运算符重载**
  8. python有多少种模块_python如何查看有哪些模块
  9. 到需要了解AD和LDAP的关系的时候了
  10. 华为鸿蒙系统刷屏,到底什么是微内核操作系统呢?
  11. 导入AIDL例子的时候,在asBinder出现错误
  12. 如何理解create_singlethread_workqueue是严格按照顺序执行的
  13. java 设计模式学习笔记十三 observer设计者模式
  14. C# 使用VS建立数据库并使用
  15. 柴静自费百万调查雾霾真相
  16. 小白也能懂的DPDK技术解析
  17. 一个让Google、Facebook、Amazon都羡慕的平台,为什么说阿里妈妈是数字营销的未来...
  18. 最快的扫雷记录,初级只需要0.49秒,一眨眼就结束的操作!
  19. 30亿流量!梨视频靠什么拍出个“短视频世界杯元年”
  20. Ext3、Ext4、FAT、FAT32、NTFS、exFAT、Sparse、Raw

热门文章

  1. QPSK调制解调原理(IQ调制)
  2. IM即时通讯源码系统安卓苹果IOS双端源码介绍
  3. java读取excel模板并复制_POI复制Excel模板并填充数据
  4. 2022电力电缆复训题库及在线模拟考试
  5. UEFI shell控制台向.efi文件传入参数--通过protocol实现
  6. 西刺代理python_python网络爬虫实战——实时抓取西刺免费代理ip-Go语言中文社区...
  7. Docker的镜像操作命令
  8. Maven无法加载ojdbc14.jar的解决方法
  9. 三星q90 回音壁最新固件1010.5升级教程
  10. LVS 同网段搭建keeplive+lvs