记录一次SM3281折腾记

因为经常拷头文件的缘故,原来的903u盘越来越不香了。看到3281的4k性能不错,于是咸鱼上买了几个英特尔的mlc闪存,型号是29F64B2AMCMG2,L06B的制程。量产的时候自己添加id后开卡成功,但是一格式化就报错。怀疑是闪存虚焊,于是风枪狂吹,用镊子压了压芯片,结果直接不识别了,估计是内部焊盘吹短路了。然后把闪存搬到另一片板子上,能认出来,但是开卡各种报错。

不得已再次拆下闪存,这么折腾一下片上的锡要重新植球了
有了之前植球失败的经验,这次用胶布把芯片粘在钢网上,然后用晾干的锡膏植球成功了。

于是买了个测试架,不得不说还是测试架好用,途中虽然有几次小插曲,但是用调试里面的擦除功能擦除一下都能用。把闪存拆下来发现有一片闪存用测试架测试也是一样的问题,各种报错,或者开出来格式化不了,其他的都是好的。于是判断是闪存损坏了(果然咸鱼上的卖家水平还是不高,建议找靠谱的卖家),把剩下的闪存做一个128g的一个64g的。

但是发现128g的u盘只认出来3个通道,少了32g的容量。又以为是焊接的问题,狂吹一波后直接不识别。又拿下来植球重新来过,发现是跳线忘记设置了。还好闪存没吹坏。

这次开卡直接通过了,甚至不量产都能认出盘来。这才知道原来3281是把固件都放在闪存里,换了板子固件还在闪存里

测速结果,64g的读取居然比128g的要快


但是之前买了好多主控板还没用完,于是又买了几片闪迪的颗粒。这次没什么问题直接开出来了,中途发现有一片板子少认了通道,换了跳线也无法解决,于是又一阵狂吹,发现还是一样(事实证明球植好了虚焊概率还是很小的,不要动不动就风枪吹)。最后发现后来买的板子版本不一样,单贴错面了,换了一面正常

不得不说闪迪15177这个芯片,4ce的写入能到140了,还有4k性能。比903好用多了,可惜只能做成64g。

这次折腾给我的教训是不要总是怀疑焊接问题,如果闪存id能读出来就说明焊接正常。要是ce少了应该先检查跳线设置或者是不是闪存贴错面了,风枪乱吹不能解决问题。

记录一次SM3281折腾记相关推荐

  1. Ubuntu子系统折腾记

    文章目录 Ubuntu子系统折腾记 让WSL代替cmd和PowerShell 将Ubuntu子系统放到桌面 操作Windows上的硬盘文件 调用Windows中安装的Python 搭载Windows ...

  2. N1盒子Armbian折腾记

    N1盒子Armbian折腾记 参考教程地址 N1折腾记 - Armbian 5.77 刷入与优化 N1 – 完美刷机Armbian教程 0x0 杂谈 0x1 心里准备 怎么说呢?我被N1刷机Armbi ...

  3. 万由nas系统安装MySQL_蜗牛星际矿机折腾记1-安装万由U-NAS OS

    原标题:蜗牛星际矿机折腾记1-安装万由U-NAS OS 前言 自5号上午到手后,详见<火爆3月的蜗牛星际矿机伪开箱 .晚上把机器搬回家,准备安装U-NAS系统.本以为手到擒来之事,哪知道真正安装 ...

  4. 战神笔记本ubuntu 18.04.1LTS cuda10.0安装折腾记

    战神笔记本ubuntu 18.04.1LTS cuda10.0安装折腾记 折腾前奏 安装准备 安装Ubuntu18.04.1系统 cuda 10.0 安装过程 折腾前奏 写下本记录的原因是因为网上的教 ...

  5. linux卸载桌面Ubuntu,Ubuntu安装卸载KDE桌面之折腾记

    记得几年前刚开始用的是OpenSUSE,桌面环境好像是KED1.5的,经常性崩溃阿,太让人无语了,动不动就崩溃,但是对Linux也不熟悉,没有比较好的方法,后来就转Ubuntu了. 今天看到nenew ...

  6. n1运行linux,斐讯N1折腾记:运行 Linux 及优化

    此教程同样适用于斐讯 P1 咳咳咳,上篇教程教大家给斐讯 N1 降级并且刷了官改系统,可以当作一个电视盒子和下载机来使用. 有些小伙伴可能不想把它当作电视盒子,就想把它当作 NAS 或者是服务器,但是 ...

  7. React 折腾记 - (4) 侧边栏联动Tabs菜单-增强版(结合Mobx)

    前言 有小伙伴留言有前进后退没法联动的问题.我仔细梳理下了. 简化了代码逻辑和代码量,重写了一遍,执行逻辑和上个版本有所差异; 上个版本 :React 折腾记 - (3) 结合Mobx实现一个比较靠谱 ...

  8. React 折腾记 - (1) React Router V4 和antd侧边栏的正确关联及动态title的实现

    前言 一如既往,实战出真理. 有兴趣的可以瞧瞧,没兴趣的大佬请止步于此. 免得浪费您的时间 效果图 基于antd的sidebar组件封装 折腾记的技术栈选型 Mobx & mobx-react ...

  9. rtl8811au黑苹果10.15_荣耀MagicBook I5黑苹果折腾记(超详细教程与排坑)

    本文主要介绍如何安装Win10 + Mac OS双系统,以及安装过程中可能遇到的一些坑. 本人的机型是:MagicBook I5-8250U MX150独显 8GB+256GB (VLT-W50),更 ...

最新文章

  1. html页面缓存纪txt,cdn缓存的html静态页未更新小记
  2. 后端开发 java_Java后端开发三年,你不得不了解的JVM
  3. 为什么计算机打不开系统盘了,为什么我从装了系统之后进入‘我的电脑’发现F/E盘都打不开了。系统提示:ses.exe找不到!...
  4. 网易市值超百度 成为国内第五大互联网公司
  5. ORA-01795: 列表中的最大表达式数为 1000
  6. org.springframework.dao.IncorrectResultSizeDataAccessException: query did not return a unique result
  7. abaqus python二次开发攻略_Python 进行 Abaqus 二次开发的基础知识
  8. webStorm部分以及重要快捷键
  9. fatal error: opencv2/opencv.hpp: 没有那个文件或目录
  10. 中科软java笔试题
  11. 计算机英语名词解释之软件工程篇
  12. Mysql Mac版下载安装教程
  13. linux日志分析步骤,Linux系统日志分析的基本教程
  14. 数据库恢复时出现诸如“设备激活错误
  15. 2022年11月多家权威机构____编程语言排行榜__薪酬状况
  16. 中国AI青年科学家:当天才遇上烟火气
  17. 信创云“华山论剑” 五强鼎力谁与争锋?
  18. ABP VNext学习日记7
  19. 香港税务局提醒:香港公司需警惕病毒欺骗邮件
  20. chrome谷歌浏览器导入密码和导出密码的方法

热门文章

  1. 招银网络科技终面编程题
  2. 最新亲测云赏在线视频打赏源码V8.5修复完整版
  3. WEBGL 2D游戏引擎研发系列 第一章 新的开始
  4. Echarts柱状图label优化历程
  5. 使用elastic job管理调度定时任务
  6. 抖音下播倒计时自动化软件,送礼物自动加时长
  7. Spring Security OAuth2 JWT资源服务器配置
  8. matlab车牌识别系统的开发步骤
  9. CAD/CAM/CAE基础(三) 材料加工CAE技术基础
  10. js判断数组中重复元素并找出_JavaScript判断数组重复内容的两种方法(推荐)