step1:实现module:

module DigitalCountBCD60(clk_50M,rst_n,time_cnt);
input clk_50M;
input rst_n;
output [7:0]time_cnt;
reg [7:0]time_cnt;
reg [7:0]time_cnt_n;
always@(posedge clk_50M or negedge rst_n)
begin if(!rst_n)time_cnt_n=7'b0;else beginif(time_cnt_n[3:0] == 9)begintime_cnt_n[3:0] = 4'b0000;if(time_cnt_n[7:4] == 5)time_cnt_n[7:4] = 0;elsetime_cnt_n[7:4] = time_cnt_n[7:4]+4'b0001;endelsetime_cnt_n[3:0] = time_cnt_n[3:0]+4'b0001;endtime_cnt = time_cnt_n/16*10 +  time_cnt_n%16;
endendmodule

step2:编写testbench:

【notice】在写tb文件时,输入参量一定要有个初值。

//输入参量需要有一个变化的过程。。
initial beginclk_50M=0;rst_n=0;#10 rst_n=1;forever #5 clk_50M=~clk_50M;end
DigitalCountBCD60 u1(clk_50M,rst_n,time_cnt);initial $monitor($time,,,"clk_50M = %b rst_n = %b time_cnt = %d ",clk_50M,rst_n,time_cnt);endmodule

step3:仿真

打印出来的结果

模为60的BCD码计数器的设计与验证相关推荐

  1. logisim,quartus实现模为60的BCD码计数器

    一.分析:利用封装的74161完成带有异步复位端的模6和模10计数器,然后级联构成模为60的BCD码计数器: ​ 由74161功能表可知要构成模6计数器和模10计数器,可以采用同步置数法:当达到需要的 ...

  2. HDLBits 系列(18) BCD码计数器的设计

    目录 原题复现 审题 我的设计 原题复现 先给出原题: Build a 4-digit BCD (binary-coded decimal) counter. Each decimal digit i ...

  3. FPGA中模为60的BCD码加法计数器

    定义输入data,load,cin,clk,reset:输出为qout:则代码为: module count60(clk,load,cin,reset,data,cout,qout);input cl ...

  4. 利用BCD码计数器和7段码转换器子电路

    实验目的:利用BCD码计数器和7段码转换器子电路 实验工具:Quartus ii软件和meli软件联合仿真 实验过程截图 实验结果截图 实验代码: module BCDcount (Clock, Cl ...

  5. BCD码优先编码设计

    作业名称: BCD码优先编码设计 一.作业目的 1.了解了74148优先编码器的工作原理和优先级的设计. 2.掌握集成优先编码功能和应用. 3.熟悉和运用Multisim对常用电路的仿真和设计. 二. ...

  6. 七种计数器总结(格雷码计数器、环形计数器、约翰逊计数器、FLSR、简易时分秒数字秒表|verilog代码|Testbench|仿真结果)

    七种计数器总结 一.可复位/置数计数器 1.1 可复位/置数计数器 1.2 Verilog代码 1.3 Testbench 1.4 仿真结果 二.双向(可加可减)计数器 2.1 双向(可加可减)计数器 ...

  7. 数字时钟计数器(内含模60计数器以及8421BCD码计数器设计代码)

    目录 前言 模60计数器 verilog设计代码如下: ISE综合 模24的8421BCD码计数器 原理 verilog HDL代码 测试文件 仿真波形 数字时钟计数器 verilog HDL代码 测 ...

  8. 模24的8421BCD码计数器(Verilog HDL语言描述)(仿真与综合)

    目录 前言 原理 Verilog HDL程序设计 测试代码 仿真波形图 ISE综合后 RTL Schematic Technology Schematic 前言 本博文用Verilog HDL语言描述 ...

  9. 两片74161实现60进制_设计60进制的计数器_用eda设计60进制计数器_74ls161(3)

    图4 D/A 转换器电路 该电路的输入信号接四位二进制计数器的输出 端,设计数器输出高... 数字控制电路要求5V电源,可选择CW7805集成三端稳压器实现.辅助电源原理图如图6... <数字电 ...

最新文章

  1. sql server ssl安全错误_Nginx的这些安全设置,你都知道吗?
  2. UA SIE545 优化理论基础2 凸函数 概念 理论 总结
  3. SilverLight简介和优点
  4. C++用模板元编程进行循环展开的性能测试
  5. CACTI 0.87e 安装
  6. HDU-6180 Schedule
  7. 分享几个有意思的视频
  8. 12.4scrum report
  9. java settings文件夹_windows下打开.m2文件夹,没有找到setting.xml
  10. linux 移出权限,一起学习在 Ubuntu 上授予和移除 sudo 权限
  11. Java中线程池,你真的会用吗?
  12. 数据模型和数据库系统的模型结构
  13. px、pt、em、rem 的区别
  14. windows 2003系列之搭建域环境
  15. JAVA基础之变量(数据类型及其转换)
  16. mysql新建数据库数据类型_数据库Mysql的学习(二)-数据类型和创建
  17. navicat12简体中文专业版免费使用教程
  18. 带你穿越古罗马,元宇宙巴士来啦 #Invisible Cities
  19. 《古代汉语》王力笔记整理版
  20. 【资源分享】Undertale(传说之下)简体中文精品整合包

热门文章

  1. 函数《潭州学院(心蓝)》
  2. 极客路由 链接密码_极客特惠:计算机,路由器和免费调音
  3. 面向对象基础——方法重载
  4. 麦克米伦高阶词典三星7500单词
  5. wangEditor富文本编辑器获取html内容
  6. 含泪拿下腾讯60W Offer,五轮面试,六个小时,灵魂拷问,扶我起来我还能再战
  7. 西游记下界为妖的神仙坐骑
  8. 数学速算法_掌握这11种小学数学题型,期末稳坐学霸之位!
  9. 三角函数sin和cos的实现
  10. 文献略读-JHM-定向修饰PET催化降解酶