https://blog.csdn.net/maxwell2ic/article/details/51991735

用centOS 7安装cadence搭建适合IC Design的科研环境(一)——相关知识准备

2016年07月22日 09:34:27

阅读数:9183

这篇博客记录我在用centOS搭建适合模拟集成电路设计的科研环境的过程,主要内容从我的OneNote笔记中整理,一是为了从杂乱的笔记中筛选出有价值的信息,二是希望能帮助更多像我一样的新手少走弯路

过程中所需要的一些背景知识我尽量附上链接或参考,如有差错,还望指正。

另外为了获得安装过程的屏幕截图,又重装一次cadence相关组件。

以下是博客正文,因为内容较多,我会分成一个专题系列进行叙述。

明确安装目标

一些必要的知识储备

cadence是EDA领域毋庸置疑的老大,广泛用于IC, SoC, PCB设计企业、高校。我们日常说的cadence并不是指某个软件,而是一整套开发环境,在cadence官网可以看到他们为不同的应用领域提供完整的design flow所需的开发环境。根据官网的介绍,candence应该是有5个不同的开发平台,分别是:

  1. System Design and Verification(系统级设计验证);
  2. Digital Design and Signoff(嗯这个signoff应该是类似数字设计在tape out之前的确认阶段,不知道理解的对不对);
  3. Custom IC / Analog/ RF Design(模拟/射频设计);
  4. IC Package Design and Analysis(芯片封装);
  5. PCB Design and Analysis(印制板电路)。

每个平台都包含数个软件来完成一整套流程。更专业的讲解可以看eetop上这篇。

用于模拟/射频集成电路设计的组件

我所使用的就是Custom IC / Analog/ RF Design平台,获得一个比较完整的模拟/射频集成电路开发环境,必要的组件有:

  1. Cadence Virtuoso IC suite其中包括原理图编辑器等;
  2. MMSIM包括spectre, spectreRF等仿真器;
  3. 还有Mentor Graphics公司Calibre(cadence公司的验证软件是ASSURA,但貌似现在验证阶段calibre是业界标准)。

另外从这篇文档中可以找到candence公司所有软件的名称以及缩写,能够帮助理解candence公司旗下数目众多的软件之间的关系。

操作系统的选取

cadence用于IC design的工具只支持Linux平台。这里可以找到所需的应用支持的操作系统。

cadence公司定点维护红帽的RHEL,但并不意味着只能安装在RHEL系统,centOS, Ubuntu等Linux发行版本都可以运行candence。

从Supported Platform Matrix for Cadence Applications这个表格中可以到最新的IC617支持RHEL5/6/7,所以相应的脱胎于红帽RHEL的Linux发行版本centOS 7也是支持IC617的。

下一篇博客我将重点介绍安装cadence之前需要对操作系统进行哪些必要的配置。

版权声明:本文为博主原创文章,未经博主允许不得转载。 https://blog.csdn.net/maxwell2ic/article/details/51991735

用CentOS 7安装cadence搭建适合IC Design的科研环境(二)——操作系统的相关配置

2016年08月09日 15:00:11

阅读数:9574

上一篇专题中已经说过安装cadence用ubuntu、RHEL、CentOS等发行版本都可以,但cadence官方定点维护RHEL,所以操作系统环境推荐使用RHEL或者CentOS.

前提条件
1. 电脑能连上网络
2. 已经添加了常用的yum源,具体请见这篇

安装相应的支持包

这些库都是cadence安装需要的环境配置。(以下命令都在root权限下进行)

yum install ksh -y
yum install libXext.so.6 -y
yum install libXtst.so.6 –y
yum install libXt.so.6 -y
yum install libGLU.so.1 --setopt=protected_multilib=false yum install libelf.so.1 -y
yum install libXrender.so.1 -y
yum install libXp.so.6 -y
yum install libXrandr.so.2 –y
yum install *xorg* -y
yum install libXp -y
yum install ld-linux.so.2 -y
yum install openmotif  -y
yum install libstdc++.so.5 -y
yum install -y xterm 
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
  • 8
  • 9
  • 10
  • 11
  • 12
  • 13
  • 14
  • 15

下面这些库是装MMSIM需要的

yum install -y gcc gcc-c++ ksh csh libXp *xorg-X11-fonts* compat-libstdc++-33.i686
yum install -y alliance-libs alliance glibc-2.12-1.107.el6.i686 glibc-devel.i686 glibc
yum install -y compat-readline5-5.2-17.1.el6.i686
Yum install -y xterm-253-1 
  • 1
  • 2
  • 3
  • 4

其中alliance等有几个会提示No package available,忽略该提示。

建立链接

ln -s /usr/bin/awk /bin/awk
ln -s /usr/lib/libstdc++-3-libc6.2-2-2.10.0.so /usr/lib/libstdc++-libc6.1-1.so.2 ln -s /bin/gunzip /bin/uncompress 
  • 1
  • 2
  • 3
cd /lib64
ln -s libreadline.so.6 libreadline.so.5 cd /usr/lib64
ln -s libhistory.so.6 libhistory.so.5 
  • 1
  • 2
  • 3
  • 4

tmp文件权限修改

chmod 755 /usr/tmp 
  • 1

chmod设置/usr/tmp的属性,此行意思为设置/usr/tmp为文件拥有者可读可写可执行,同用户组的权限为可读可执行,其他用户权限为可读可执行

加入回还地址,让自己主机做cadence服务器

/etc/hosts文件中的最后添加一行:

127.0.0.1 主机名 
  • 1

例如

127.0.0.1 Simon-CentOS 
  • 1

其中uname –a 查看主机名,IC617不支持默认的主机名localhost。CentOS 7的具体修改方法见这里。

CentOS 6的修改方法为修改改/etc/sysconfig/network,在里面指定主机名称HOSTNAME=Simon-CentOS,然后执行命令

hostname Simon-centOS 
  • 1

更新重启

yum update reboot

用CentOS 7安装cadence搭建适合IC Design的科研环境(三)——准备安装镜像

2016年08月09日 15:02:02

阅读数:9576

拜谢eetop论坛大神提供的方法,我们可以直接从cadence官网下载最新的安装包,原文在这里。

本文的工作主要是搬运一些信息,同时补充上一些我在安装过程中遇到的问题,以及解决方法。

下载安装包

从官网下载

首先在cadence官网注册一个账号。然后去下载中心下载所需要的软件。

但博主亲测,这个方法应该是已经失效了。因为cadence在2015年9月更新了帐户系统,没有购买他们产品的账号无法进入下载中心页面。

这个步骤之后会继续让在这个页面注册,再往后走就会出现这样的界面。

强大的百度云

我贴一下我的分享链接。

IC617
MMSIM151
InstallScape
Calibre2015

关于lincense以及patch的下载链接,我会贴在下一篇博客相应的位置

关于版本的说明

下面说明一下base版本和hotfix版本的关系。

  • Base版是完整的软件最初版本,它具有所有软件运行功能;
  • Update版是Base版后加进了各种改进的增强版,它可以完全取代Base版;
  • Hotfix版是Base版或Update版的定时出版(分为月度或季度版)的各种补丁,并不具有软件的完整功能,而且最新的Hotfix通常都包含了以前出版的Hotfix内容。
  • 若Cadence的软件有Update版的话, 只需下载Update版而不用下载Base版安装;
  • Hotfix版只需下载最新版而不用浪费时间去下载每一个以前的 Hotfix 版;
  • 安装顺序为先安装Base或者Update(若有 Update 的话), 再在Base(或 Update)上面安装 Hotfix(单个安装 Hotfix是无法工作的)。Hotfix 安装时会先移除 Base 版或 Update 版中各个需要替换的子程序后再安装对应的新子程序。

我分享的链接中,IC617是base版本,MMSIM151是hotfix版本(这个只用装hotfix就行了)

版权声明:本文为博主原创文章,未经博主允许不得转载。 https://blog.csdn.net/maxwell2ic/article/details/52162827

用CentOS 7安装cadence搭建适合IC Design的科研环境(四)——IC617、MMSIM151、calibre2015安装过程step by step

2016年08月09日 15:06:55

阅读数:19323

以下操作都在root权限下执行。

建立安装目录

建议把这种大型软件装在/opt目录下。

mkdir -p /opt/cadence/installscape mkdir -p /opt/mentor/Calibre2015 
  • 1
  • 2

其中-p参数是直接建立父目录。因为IC617和MMISM151会自己建立安装目录,所以在这里没有建相关目录。而calibre则是默认安装在安装包所在的目录下,所以需要提前建立。

解压安装包

把之前下载的安装包解压到相应目录,其中IC617和MMSIM151可以随便放个地方,而calibre则建议解压到/opt/mentor/Calibre2015目录下。

注意自己下载的安装包的完整性


安装和启动InstallScape

把installscape的压缩包复制到/opt/cadence/installscape

接着cd到此路径然后执行命令

zcat IScape04.23-s010lnx86.t.Z | tar -xvf- 
  • 1

这样就在该目录生成了安装好的installscape,然后启动installscape

sh /opt/cadence/installscape/iscape/bin/iscape.sh 
  • 1

注:如果这一步说什么javaVM什么的问题就是操作系统的环境没有配置好,请按照我这个系列博客的这一篇配置。

设置好默认安装目录

安装软件

IC617

找到之前解压到的目录,

然后基本上就是各种下一步,各种y

到这一步的时候选2就行了。

让设置oa的时候,选默认的oa路径。此处如此重要的地方我竟忘记截图了,网上找了个安装IC616的图贴上示意一下。

MMSIM151

安装MMSIM151的步骤和IC617基本相同,

不同的地方在于,oa目录一定要设置为之前IC617的oa目录
我的oa目录如下,不同版本的目录版本号会不同。

关于oa目录,具体讲解请看这篇。

calibre

calibre是一个后端验证的软件,已经成为行业标准,和cadence不是一个公司,具体介绍请看这里。

因为发现Mentor的软件都是默认安装在源文件所在的目录的,所以想装到那儿就拷到哪儿。之前已经把aoi_cal_2015.2_36.27_mib.exe这个文件解压到了/opt/mentor/Calibre2015目录下,然后进入该目录,在terminal中执行命令:

./aoi_cal_2015.2_36.27_mib.exe 
  • 1

如遇到权限问题,直接chmod 777吧。
如果遇到uudecode的问题,装sharutils-4.6.1-2.i386.rpm包吧。

然后中间按照提示,就完成了安装。

打补丁

patch的下载链接

cadence_patch
calibre_patch

给IC617和MMSIM151打补丁

其实patch的方法在readme里面写的很清楚

只用将patchsfk这两个文件放在cadence的安装目录下(其实放哪都行),cd进该工作目录,然后执行命令

./cadence_patch.sh /opt/cadence/IC617
./cadence_patch.sh /opt/cadence/MMSIM151 
  • 1
  • 2

中间会看到一些error,无所谓。

给calibre打补丁

这个其实看readme也能看懂

patch_calibresfk这两个文件放在/opt/mentor/Calibre2015/aoi_cal_2015.2_36.27目录下,然后执行命令

chmod a+x patch_calibre sfk
./patch_calibre aoi_cal_2015.2_36.27 
  • 1
  • 2

安装license

关于license的一些背景知识可以看这里, 和这里。

license下载链接

cadence_license
calibre_license

生成license.dat文件

按照readme中所说的,可以在Linux平台下产生license.dat,也可以在windows平台下产生license.dat

重点是,这是新版本的license,文件中不再有server,deamon等内容,正确的license文件中应该只包含FEATURE字段,所以需要将生成的license.dat文件中前4行(FEATURE字段之前)删除

把这两个license文件放到相应的目录,比如

/opt/cadence/IC617/share/license/ /opt/mentor/license/ 
  • 1
  • 2

bashrc环境变量的配置

这是最重要的一步,比较详细的说明请看这里。

下载链接在这里:bashrc

打开之后自己看着里面大概能猜出来什么意思,主要要改的就是

export CADHOME=/opt/cadence export CDS_LIC_FILE=$CADHOME/share/license/license.dat export MGC_HOME=/opt/mentor export CALIBRE_HOME=$MGC_HOME/Calibre2015/aoi_cal_2015.2_36.27 export MGC_LICENSE_FILE=$MGC_HOME/license/license.dat export MGC_CALIBRE_SCHEMATIC_SERVER=Simon-CentOS export MGC_CALIBRE_LAYOUT_SERVER=Simon-CentOS  
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7

大概就只需要改列举的这几个,总之就是要改成你对应的安装目录,还有license放的位置,具体你根据自己的情况改就行了。里面还有很多其他软件,我们没有装,不管。

启动virtuoso

将这个bashrc放在你的项目文件里,然后改名为.bashrc,比如我放在/home/Projects/Test1/,然后在该目录中用terminal执行命令

. .bashrc virtuoso & 
  • 1
  • 2

其中第一个命令的两个点中间有一个空格,第二个命令就是启动virtuoso

duang!CIW已经启动起来啦!

集成calibre开发环境

下载这两个文件

其中第一个是配置说明,你也可以直接将第二个.cdsinit放在项目文件下(就是你刚才放bashrc的那个文件夹),然后重新执行

. .bashrc virtuoso & 
  • 1
  • 2

可以看到calibre已经集成到CIW中啦!不过你第一次启动的时候会报个错误

Calibre DRC error: RVE socket server number has not been initialized 
  • 1

use -1 for automatic socket and don’t fill in the host name. But in most cases socket 9189 should work. Fill 9189 in the socket and your hostname in the localhost

然后就可以使用calibre啦。

版权声明:本文为博主原创文章,未经博主允许不得转载。 https://blog.csdn.net/maxwell2ic/article/details/52162886

用centOS 7安装cadence搭建适合IC Design的科研环境相关推荐

  1. 用CentOS 7安装cadence搭建适合IC Design的科研环境(四)——IC617、MMSIM151、calibre2015安装过程step by step

    以下操作都在root权限下执行. 建立安装目录 建议把这种大型软件装在/opt目录下. mkdir -p /opt/cadence/installscape mkdir -p /opt/mentor/ ...

  2. Centos 6安装完美搭建mysql、php、apache之旅

    安装apache [root@centos share]# yum -y install httpd Loaded plugins: fastestmirror, refresh-packagekit ...

  3. linux apa配置php,Centos 6安装完美搭建mysql、php、apache

    You can test the MySQL daemon with mysql-test-run.pl cd /usr/mysql-test ; perl mysql-test-run.pl Ple ...

  4. CentOS 7一键安装Seafile搭建私有云存储

    Seafile 是一款开源企业云盘,和Owncloud类似,个人感觉Seafile更加稳定,搭建也很简单,为了方便,xiaoz写了一个一键脚本,方便快速搭建自己的私有云. 环境要求 CentOS 7 ...

  5. centos安装php服务器,在CentOS上安装搭建PHP+Apache+Mysql的服务器环境方法

    以下安装过程是基于centos下,使用yum安装搭建PHP+Apache+Mysql的服务器环境 一:安装MySQL 1.安装yum install mysql mysql-server mysql- ...

  6. 在centos上安装httpd,并实现html文件和cgi文件在网页上显示,搭建静态web网页

    在centos上安装httpd,并实现html文件和cgi文件在网页上显示 简介 Apache-httpd的简介 Apache-httpd的安装 html文件和cgi文件的编写 网页访问html和cg ...

  7. Linux基础篇① (Linux介绍;VM和CentOS详细安装教程;工具环境搭建,配置;Linux目录结构)

    目录 第一章 Linux入门 1.1 Linux应用领域 1.1.1 个人桌面领域的应用 1.1.2 服务器领域 1.1.3 嵌入式领域 1.2 Linux介绍 1.2.1 linux概述 1.3 L ...

  8. 在ubuntu上搭建IC数模混合环境

    在ubuntu上搭建IC数模混合环境 仅以这篇博客来纪念一下当年因搭环境而掉的头发 文章目录 在ubuntu上搭建IC数模混合环境 对linux新手的一些搭环境常识补充 尽信书不如无书 没有基础的劝退 ...

  9. CentOS 6.7 源码搭建LNMP架构部署动态网站环境

    源码搭建LNMP架构部署动态网站环境 Nginx 简介 Nginx是一款相当优秀的用于部署动态网站的服务程序,Nginx具有不错的稳定性.丰富的功能以及占用较少的系统资源等独特特性. Nginx (& ...

最新文章

  1. C++ 编译器多态与运行时多态
  2. 路由与交换 基础 1:组播
  3. springboot简易集成mybatisPlus+多数据源
  4. RGB CMYK HSV LAB
  5. C# 利用类名字符串调用并执行类方法
  6. HTC公布第二款区块链手机Exodus 1s:或将于9月前发售
  7. pytorch resnet50_用Pytorch手工实现ResNet50
  8. 双向LSTM与单向LSTM效果对比
  9. 21. jQuery 遍历 - 后代
  10. 作为开发你必须知道的WebSocket详解
  11. PyGame:Python 游戏编程入门-1
  12. 布线时排阻(IC)管脚交换步骤
  13. 看我骚操作‘破解’某查查app的sign以及某眼查的Authorization!
  14. 腾讯大王卡怎么申请的详细方法教程!附软件
  15. 微信小程序之swiper无限轮播实现效果
  16. 33幅精美的拿铁图案摄影作品欣赏
  17. openGL增强表面细节----高度贴图
  18. 拼多多崛起背后的电商新版图
  19. dau、mau、pcu、dnu、wau、acu、uv的意思是什么?怎么分析?
  20. html日期格式化引用fmt报错

热门文章

  1. 基于matlab的锁相环频率合成器,锁相环与频率合成器电路设计
  2. DEA-SBM最佳投入产出权向量很多0怎么回事
  3. 如何阻止冒泡浏览器默认行为
  4. 百度搜索引擎优化指南2.0
  5. 系统集成项目管理工程师考试时间
  6. ADC的基本工作原理
  7. git命令行拉取合并代码流程
  8. Vista SP1 无法建立PPOE连接解决方法
  9. Java程序设计教程——第三章习题
  10. linux桌面壁纸目录,酷炫!推荐一款适用于Linux的壁纸程序