玩蛇网python教程在前面的文章中给大家讲解过一种导入模块的方法 import ,今天介绍一种经常会使用到的方法 from import

比如我们导入一个数学计算的模块 math:

>>> import math
>>> print math
<module 'math' (built-in)>
>>>
>>> print math.pi #导出圆周率的值
3.14159265359
>>>

我们导入math模块,在python模块学习中我们会知道,这样做会得到名math的对象,这个模块对象包含了pi这样的常量,以及一些其它的方法。

我们如果直接访问 pi,不加math这个前缀会发生什么情况呢?

>>> print pi

Traceback (most recent call last):
  File "<pyshell#6>", line 1, in <module>
    print pi
NameError: name 'pi' is not defined
>>>

程序抛出了一个名为“NameError”的错误,这样的python 错误处理要怎么解决?这个时候我们可以用from这个方法来实现可以直接用pi这个方法:

>>> from math import pi
>>> print pi
3.141592653589793
>>>

这样我们就可以直接输出 pi的值了,而不会报错,不需要加那个模块名加句号。

有的朋友可能感觉还是比较麻烦,有会有更快速、更省事的Python模块导入方法呢?
答案是:还真有。

>>> from math import *
>>>
>>> pi
3.141592653589793
>>> cos(pi)
-1.0
>>>

这样就不需要一个一样导入模块内部的方法了,一次性的将所有python 调用函数方法导入,好处是代码看起来非常简洁,但是如果同时导入多个模块,要考虑模块方法名冲突等这些问题,至于怎么样使用,要看你的使用环境 。

总之,from方法导入模块,是你以后编程过程中经常会用到的。

python005 -- from inport 作用相关推荐

  1. css inport作用,浅谈css和@import区别及用法详解

    下面小编就为大家带来一篇浅谈css和@import区别及用法.小编觉得挺不错的,现在就分享给大家,也给大家做个参考.一起跟随小编过来看看吧 css和@import都是调用外部样式表的方法. 一.用法 ...

  2. Object的finalize()方法的作用是否与C++的析构函数作用相同

    Object的finalize()方法的作用是否与C++的析构函数作用相同 public class Finalization {private static Finalization finaliz ...

  3. 浅显易懂 Makefile 入门 (02)— 普通变量和自动变量定义、使用($@、$^、$< 作用)、变量覆盖 override、变量的来源 origin

    1. 变量的定义 Makefile 文件中定义变量的基本语法如下: 变量的名称=值列表 变量的名称可以由大小写字母.阿拉伯数字和下划线构成.等号左右的空白符没有明确的要求,因为在执行 make 的时候 ...

  4. 【B/S实践】解决:vs中修改样式表后不起作用的问题

    缓存,有好多,cpu和内存之间的三级缓存,浏览器内的缓存! 缓存是因为速率不对等,通过缓存来加速内容的显示! 今天我在敲牛腩的时候遇到一个问题,找了半小时,才发现是缓存导致的问题! 试着运行aspx文 ...

  5. 卷积神经网络之卷积计算、作用与思想 深度学习

    博客:blog.shinelee.me | 博客园 | CSDN 卷积运算与相关运算 在计算机视觉领域,卷积核.滤波器通常为较小尺寸的矩阵,比如3×33×3.从这个角度看,多层卷积是在进行逐层映射,整 ...

  6. 机器学习——标准化/归一化的目的、作用和场景

    对每个特征进行归一化处理,使得每个特征的取值缩放到0~1之间.这样做有两个好处: 模型训练更高效. 特征前的权重大小可代表该变量对预测结果的贡献度(因为每个特征值本身的范围相同). (一)归一化的作用 ...

  7. Linux操作系统下文件作用

    linux下的文件结构,看看每个文件夹都是干吗用的 /bin 二进制可执行命令 /dev 设备特殊文件 /etc 系统管理和配置文件 /etc/rc.d 启动的配置文件和脚本 /home 用户主目录的 ...

  8. python sys.argv[] 的作用

    sys.argv[]是什么 argv 是sys库里面的所以使用的 需要先引入sys (使用import sys) sys.argv[]的作用 从程序外获取参数,怎样理解呢,例如在终端中运行test脚本 ...

  9. C++ namespace 的作用以及使用

    namespace 是什么: 命名空间(namespace)是一种描述逻辑分组的机制,可以将按某些标准在逻辑上属于同一个任务中的所有类声明放在同一个命名空间中.标准C++库(不包括标准C库)中所包含的 ...

最新文章

  1. oracle客户端免安装配置、64位机器PL/SQL和VS自带的IIS连接问题
  2. iis 防火墙防止恶意ip攻击
  3. 【C++】Visual Studio教程(八) -修复 Visual Studio
  4. 华为手机输入键盘声音_华为手机键盘隐藏7个秘密,你只用来打电话?来一起解锁新玩法...
  5. TiDB 在金融关键业务场景的实践
  6. SCCM 2016 配置管理系列(Part4)
  7. C#LeetCode刷题之#234-回文链表(Palindrome Linked List)
  8. Android多媒体之视频播放器高级开发
  9. 牛客练习赛15A-吉姆的运算式(Python正则表达式瞎搞)
  10. 2018年大学生创业项目推荐
  11. java万能万年历的程序_Java万年历
  12. 1H413000工业机电工程安装技术—— 1H413010机械设备安装技术
  13. ZYNQ研究----(3)7100 裸跑LWIP协议栈
  14. 蒙氏素材---创意时钟---三段卡 蒙氏教育
  15. BLE_BQB Test_Intermodulation Performance, uncoded data at 1 Ms/s_RF-PHY/RCV/BV-05-C
  16. AJAX请求和普通HTTP请求区别(postman分析)
  17. 互联网日报 | 6月24日 星期四 | 快手全球月活已达10亿;HarmonyOS 2首批正式版升级;微软市值突破2万亿美元...
  18. 第48章 MDK的编译过程及文件类型全解
  19. 三相缺相、相序错误保护
  20. 最简单的Python二叉树给欧式期权定价

热门文章

  1. 第四章:多媒体应用开发
  2. 神奇宝贝!windows7系统下载究极进化!!!
  3. 数据结构,为何是工程师最重要的基本功?
  4. 设定时间段内同一IP的访问次数限制和同一IP的操作次数限制
  5. 电感值、电容值的理解(下)
  6. 以码出高效为灯,铺垫高速之路
  7. Delphi反射传入var形式的参数
  8. pilz pnoz s4说明书_Pilz展示PNOZ s4系列常用继电器750134
  9. windowsNT系统
  10. CloudCompare:三维点云(网格)编辑和处理工具