本设计实现JESD204B协议。
实现这个协议有两种方法:

1、可以通过调用IP核的形式实现。
2、通过官网datasheet的源程序进行实现。
本工程使用vivado2018仿真JESD204B协议,用verilog编写,最后仿真图如下图所示:


顶层模块设计:

module top #(
parameter DATA_WIDTH = 8,
parameter LANES = 4, // Number of lanes in the link
parameter CONVERTERS = 8, // Number of converters
parameter RESOLUTION = 11, // Converter resolution
parameter CONTROL = 2, // Number of control bit
parameter SAMPLE_SIZE = 16, // Number of bits per sample
parameter SAMPLES = 1 // Number of samples per frame
) (
input clock,
input reset,
input [SAMPLESCONVERTERSRESOLUTION-1:0] tx_datain,

verilog/VHDL实现JESD204B协议相关推荐

  1. verilog/vhdl调试工具-Debussy使用教程

    本文介绍一下Debussy工具的使用,它是一个非常好用的verilog/vhdl调试工具!!!

  2. 04【Verilog实战】SPI协议底层硬件接口设计(附源码RTL/TB)

    脚  本:makefile 工  具:vcs 和 verdi 写在前面 这个专栏的内容记录的是个人学习过程,博文中贴出来的代码是调试前的代码,方便bug重现. 调试后的程序提供下载,[下载地址] 发现 ...

  3. (筆記) 如何使用Debussy與ModelSim做Co-Simulation? (SOC) (Verilog) (VHDL) (Debussy) (ModelSim)

    Abstract 本文介紹如何使用Debussy與ModelSim做Co-Simulation,並使用Verilog.VHDL以及Verilog搭配VHDL交叉一起simulation. Introd ...

  4. Verilog VHDL三种建模描述方式——2选1数据选择器

    标题Quartus II 标题 Verilog VHDL三种建模描述方式--2选1数据选择器 1,结构化描述方式: 是使用实例化低层次模块的方法,即调用其他已经定义过的低层次模块对整个电路的功能进行描 ...

  5. 支持jesd204b协议高速DAC芯片AD9144-FMC-EBZ配置笔记

    本文为明德扬原创文章,转载请注明出处! 一.背景 AD9144是一款支持jesd204b协议高速DAC芯片.AD9144-FMC-EBZ是基于AD9144的评估板(Evaluation Board), ...

  6. 基于 JESD204B 协议ARM+FPGA+AD多板卡多通道同步采集实现方法

    0 引言 随着数字化信号处理技术的不断进步,对数字信号 的处理已经成为当前大多数工程应用的基本方法.由于 模拟信号才是现实生活中的原始信号,为了工程研究实 现的可能,需将模拟信号转换为数字信号才能在工 ...

  7. 使用Pulseview软件辅助verilog数字设计仿真协议解码

    简介 经常使用RTL例如verilog进行数字逻辑设计的朋友,仿真一定是一个绕不开的话题.在一些标准的数字接口和协议上,使用仿真软件例如modelsim或者vcs进行仿真后,得到的都是波形文件,使用相 ...

  8. Verilog功能模块——AXI4-Lite协议主机-单次写-使用FIFO

    一. 模块功能与应用场景 模块功能:AXI4-Lite协议主机,从FWFT FIFO(首字直通FIFO)中读取地址和数据,实现将数据写入到设定的地址中的操作. 二. 模块框图与使用说明 2.1 模块框 ...

  9. Verilog功能模块——AXI4-Lite协议主机-连续区间写-使用FIFO

    一. 模块功能与应用场景 模块功能:AXI4-Lite协议主机,从FWFT FIFO(首字直通FIFO)中不断读取数据,写入到一段地址中. 应用场景:DDR读写,BRAM读写等AXI接口的存储器件读写 ...

最新文章

  1. Go 学习笔记(68)— goroutine 并发控制神器 Context
  2. Internet:从区块链的底层技术思考互联网是如何构成的
  3. 视频 | OFC上的腾讯声音
  4. char 赋值 加入变量_王牌编程语言Java常量、变量、数据类型详解
  5. java程序示例_Java程序中的Google搜索示例
  6. Android Handler机制之总目录
  7. Javascript内置对象之Date对象与HTML BOM
  8. podman—网络设置、开机自启及加速器配置
  9. python游戏程序代码大全_python小游戏代码,python小游戏代码大全打枪
  10. java中正则验证邮箱手机格式
  11. android手机获取qq闪照的方法,QQ闪照怎么保存 闪照保存到手机的方法教程
  12. 常见水果/蔬菜/植物nbsp;英语词汇大全
  13. 安装显卡后计算机无法启动,Win10更新显卡驱动后无法开机进入系统的解决方法...
  14. Artificial Intelligence-人工神经网络
  15. java实习生面试一些技巧
  16. 16k Star!一个开源的命令行视频播放器
  17. NetLink机制使用
  18. K近邻(k-Nearest Neighbor,KNN)算法,一种基于实例的学习方法
  19. wltp和nedc续航差多少_WLTP续航和NEDC续航差多少
  20. 透析阿里3亿元投资的如涵:孵化张大奕,吸金但苦逼

热门文章

  1. SAP UI5 setModel of scFld Controller
  2. CRM order lock will trigger product read API
  3. How is product data bound in Opportunity Edit page
  4. InvisionApp学习
  5. SAP CRM和Cloud for Customer的数据同步一例
  6. Cloud for Customer根据后台数据实时刷新的逻辑调试
  7. SAP CRM WebClient UI Search against extension field
  8. IBASE category 01 component hierarchy
  9. 为什么知乎页面按Ctrl C 会自动粘贴一部份文字出来?
  10. SAP OData 服务在 gateway 层出错,应该如何处理?通过一个错误消息 Query XXX is invalid or contains errors 来举例说明