介绍

  74148优先编码器为16脚的集成芯片,是一复个八线-三线优先级编码器。除电源脚 VCC(16)和GND(8)外,其余输入、输出脚的作用和脚号如图中所标。制其中 I 0— I 7为输入信号, A2,A1,A0为三位二进制编码输出信号, EI是使能输入端, EO使能输出端, G S为片优先编码输出端。
  在优先编码器电路中,允许同时输入两个以上编码信号。不过在设计优先编码器时,已经将所有e69da5e887aa7a6431333361303130的输入信号按优先顺序排了队。在同时存在两个或两个以上输入信号时,优先编码器只按优先级高的输入信号编码,优先级低的信号则不起作用。74148是一个八线-三线优先级编码器。
  74148优先编码器为16脚的集成芯片,除电源脚 VCC(16)和GND(8)外,其余输入、输出脚的作用和脚号如图中所标。其中 I 0— I 7为输入信号, A2,A1,A0为三位二进制编码输出信号, EI是使能输入端, EO使能输出端, G S为片优先编码输出端。
由74148真值表可列输出逻辑方程为:

A2 =(I4+I5+I6+I7)EI
A1 = (I2I4I5+I3I4I5+I6+7)· EI
A0 = (I1I2I4I6+I3I4I6+I5I6+I7)· EI

当使能输入 EI=1时,所有输出端群被封锁在高电平。
当使能输入EI=0时,允许编码,在I0~I7输入中,输入 I7优先级最高,其余依次为: I6,I5,I4,I3,I2,I1,I0等级排列。
使能输出端EO的逻辑方程为:

EO =I0· I1· I2· I3· I4· I5· 67· EI,

  此逻辑表达式表明当所有的编码输入端都是高电平(即没有编码输入),且EI=0时,EO才为零;
  表明EO的低电平输出信号表示“电路工作,但无编码输入。
  扩展片优先编码输出端 G S的逻辑方程为: GS = (I0+I1+I2+I3+I4+I5+I6+I7)· EI,此时表明只要任何一个编码输入段有低电平信号输入,且EI=0,GS即为低电平。
GS的低电平输出信号表示“电路工作,而且有编码输入。”(GS=0)
在《数字电子技术基础》中,EI表示S,EO表示Ys,GS表示YEX(EX为下标)
在仿真软件multisim中,有74HC148DW,74HC148N 两种表示的是封装不同 不影响仿真。

芯片实物图


74HC148(有限编码器)真值表

什么是优先编码器

  优先编码器是一种能将多个二进制输入压缩成更少数目输出的电路或算法。其输出是序数0到输入最高有效位的二进制表示。优先编码器常用于在处理最高优先级请求时控制中断请求。

   如果同时有两个或以上的输入作用于优先编码器,优先级最高的输入将会被优先输出。下图是一位4线-2线编码器的例子,其中最高优先级的输入在功能表的左侧,而“x”代表无关项,即可是1也可是0,也就是说不论无关项的值是什么,都不影响输出,只有最高优先级的输入有变化时,输出才会改变。

单片机实现74HC148优先编码器功能的程序

//在arudino 中调试通过
//将A0,A1,A2,接入IO 4,7,8
//如数据不稳定可以将digitalRead改为digitalReadt程序消抖进行对比。
void setup() {// put your setup code here, to run once:
Serial.begin(9600);
#define HC148A0 8
pinMode (4, INPUT);
pinMode (7, INPUT);
pinMode (8, INPUT);
}
void loop() {int a = 0;
Serial.print(" A2 ");
Serial.print("A1");
Serial.print(" A0 ");
int n8 = digitalRead(8);
delay(2);
int n7 = digitalRead(7);
delay(2);
int n4 = digitalRead(4);
bitWrite(a, 0, n4);
bitWrite(a, 1, n7);
bitWrite(a, 2, n8);
Serial.print(n8, BIN); Serial.print(" "); Serial.print(n7, BIN); Serial.print(" "); Serial.print(n4, BIN);Serial.print(" = "); Serial.println(a);delay(100);
}
bool digitalReadt(int testpin) {bool digval = digitalRead(testpin); //消抖
delay(5);
while (digval != digitalRead(testpin)) {delay(5);
digval = digitalRead(testpin);
delay(5);
}
return digval;
}

74HC148引脚图及功能相关推荐

  1. tl494c封装区别_详解TL494的性能特点参数 TL494引脚图与功能

    TL494是一个固定频率的脉冲宽度调制电路,内置了线性锯齿波振荡器,振荡频率可以通过外部的一个电阻和一个电容进行调节.主要为开关电源控制器而设计. TL494器件集成了在单个芯片上构建脉冲宽度调制(P ...

  2. LM324运放电路图讲解,详细解读LM324引脚图及功能,几分钟就搞懂

    今天给大家分享一篇关于 LM324运放 10 个简单电路的文章(来源于艾琳). 这里先简单介绍一下 LM324运放引脚及功能. 什么是LM324? LM324是一款四路运算放大器 IC,由四个高增益放 ...

  3. c语言void ds,ds1307怎么使用(ds1307引脚图及功能_c语言程序及典型应用电路)

    描述 实时时钟DS1307 介绍: DS1307是低功耗.两线制串行读写接口.日历和时钟数据按BCD码存取的时钟/日历芯片.它提供秒.分.小时.星期.日期.月和年等时钟日历数据.另外它还集成了如下几点 ...

  4. 0809连接单片机c语言,adc0809引脚图及功能详解,adc0809与51单片机连接电路分析

    描述 adc0809是采样频率为8位的.以逐次逼近原理进行模-数转换的器件.其内部有一个8通道多路开关,它可以根据地址码锁存译码后的信号,只选通8路模拟输入信号中的一个进行A/D转换. 1.主要特性 ...

  5. 74ls161中rco是什么_芯片74ls160十进制计数器引脚图及功能_真值表_设计进制计数器方法...

    芯片74ls160十进制计数器中文资料 本文将为大家详细介绍芯片74ls160十进制计数器的中文资料信息,包括74ls160引脚图及功能.真值表.功能表.及设计24进制计数器等.希望能帮助大家更好认识 ...

  6. adc0809引脚图及功能详解

    下载地址:http://www.51hei.com/bbs/dpj-56180-1.html adc0809是采样频率为8位的.以逐次逼近原理进行模-数转换的器件.其内部有一个8通道多路开关,它可以根 ...

  7. 51单片机c语言tlc549,tlc549中文资料汇总_tlc549引脚图及功能_工作原理_特性参数及典型应用电路程序...

    一.tlc549中文资料汇总-tlc549介绍 TLC549是8位串行A/D转换器芯片,可与通用微处理器.控制器通过CLK.CS.DATAOUT三条口线进行串行接口.具有4MHz片内系统时钟和软.硬件 ...

  8. adc0809引脚图及功能_80C51单片机的引脚及其功能介绍

    首先我们来连接一下单片机的引脚图,如果,具体功能在下面都有介绍. 单片机的40个引脚大致可分为4类:电源.时钟.控制和I/O引脚. ⒈ 电源: ⑴ VCC - 芯片电源,接+5V: ⑵ VSS - 接 ...

  9. lj245a引脚功能图_CA3140中文资料-引脚图及功能

    CA3140高输入阻抗运算放大器,是美国无线电公司研制开发的一种BiMOS高电压的运算放大器在一片集成芯片上,该CA3140A和CA3140 BiMOS运算放大器功能保护MOSFET的栅极(PMOS上 ...

最新文章

  1. 【错误记录】Kotlin 编译报错 ( Type mismatch: inferred type is String? but String was expected )
  2. JVM中的栈和局部变量
  3. Android 架构 -- Room
  4. SQL JOIN --Merge Join
  5. Kubernetes 系列(三):Kubernetes使用Traefik Ingress暴露服务
  6. [zz]grep 命令的使用
  7. 博客刚刚开通,纪念一下。
  8. 汇编程序:将字符串中所有大写字符转为小写
  9. exchanger_如何通过示例在Java中使用Exchanger
  10. python中的def语句输出1000以内的回文_各种方法测试回文的性能[Python]
  11. 计算机网络相关知识、名词总结
  12. 吾之工作要求:死板,教条,僵化
  13. java actionsupport_【JAVA学习】struts2 中 Actionsupport 的作用
  14. 利用word2vec创建中文主题词典——以网络暴力关键词为例
  15. Geos库学习之(二)——使用Geos库创建简单的几何对象
  16. 异步fifo_【好设计论文】一种面向异步FIFO的低开销容错机制研究
  17. 15个网站失效死链接检查工具
  18. 压缩文件解压密码破解之fcrackzip
  19. 红蜘蛛10013端口被占用
  20. U-GAT-IT 翻译

热门文章

  1. 淘宝美工教程:1小时让店铺高大上-优就业-专题视频课程
  2. Greenplum 实时数据仓库实践(8)——事实表技术
  3. Debian 启用root账户远程登录并删除多余用户
  4. 金蝶二次开发的常见类型
  5. matlab实现LSB图像水印的嵌入与提取
  6. websocket 客户端测试工具
  7. 百度首页天气html制作,使用百度天气API实现自己的天气预报
  8. 软考网络工程师如何复习?
  9. 业务层战略制定的思路和方法_如何科学的制定企业战略目标?(附流程与方法解析)...
  10. HTML网页上常见的3种单位是,HTML_CSS中常用的单位,一、长度单位 长度单位 - phpStudy...