杭州电子科技大学计算机学院

实验报告

课程名称:计算机组成原理

实验项目:寄存器堆设计实验

指导教师

实验位置:

班 级:

学 号:

日 期:2015年5月7日

实验目的学习和使用Verlilog HDL 进行和思绪电路的设计方法

掌握灵活的运用Verilog HDL 进行各种描述与建模的技巧和方法

学习寄存器堆的数据传送与读写工作原理,掌握寄存器读一的设计方法实验环境ISE Design Suite 14.6Digilent Adept

Nexys3实验板实验内容(算法、程序、步骤和方法)根据实验原理设计32个32位的寄存器堆,有俩个读端口,1个写端口,既能同时读出两个寄存器的值,写入一个寄存器,设计寄存器只需要定义一个reg类型的数组即可完成,利用数组下标操作,寄存器即可,同时也有Rest 和CLk 信号控制我们的操作的进行。8位的LED进行显示我们读出的数据的一部分!

实验的顶层模块:

module Test_Rgisterfile(Addr,Write_Reg,C1,C2,Clk,Reset,LED);

input [4:0]Addr;

input [1:0]C1;

input Write_Reg,C2,Clk,Reset;

output reg [7:0]LED;

wire [31:0]R_Data_A,R_Data_B;

reg [31:0]W_Data;

reg [4:0]A,B;

Registerfile reg1(A,B,Addr,Write_Reg,W_Data,Clk,Reset,R_Data_A,R_Data_B);

always@(Addr or Write_Reg or C1 or C2 or R_Data_A or R_Data_B)

begin

A=0;

B=0;

LED=0;

W_Data=0;

if(!Write_Reg)

begin

if(!C2)

begin

A=Addr;

case(C1)

2'b00:LED=R_Data_A[7:0];

2'b01:LED=R_Data_A[15:8];

2'b10:LED=R_Data_A[23:16];

2'b11:LED=R_Data_A[31:24];

endcase

end

else

begin

B=Addr;

case(C1)

2'b00:LED=R_Data_B[7:0];

2'b01:LED=R_Data_B[15:8];

2'b10:LED=R_Data_B[23:16];

2'b11:LED=R_Data_B[31:24];

endcase

end

end

else

begin

case(C1)

2'b00:W_Data=32'h0000_0003;

2'b01:W_Data=32'h0000_0607;

2'b10:W_Data=32'hFFFF_FFFF;

2'b11:W_Data=32'h1111_1234;

endcase

end

end

endmodule

寄存器的操作模块:

module Registerfile(input [4:0]R_Addr_A,

input [4:0]R_Addr_B,

input [4:0]W_Addr,

input Write_Reg,

input [31:0]W_Data,

input Clk,

input Reset,

output [31:0]R_Data_A,

output [31:0]R_Data_B

);

reg [31:0]REG_Files[0:31];

reg [5:0]i;

initial

begin

for(i=0;i<=31;i=i+1)

REG_Files[i]=0;

end

assign R_Data_A=REG_Files[R_Addr_A];

assign R_Data_B=REG_Files

杭电 2016 计算机组成原理,杭电计算机组成原理寄存器堆设计实验4.doc相关推荐

  1. 杭电计算机组成实验4(四)寄存器堆设计实验

    已开源在GitHub上,欢迎各位大佬给Star 仓库地址 实验内容 1. 学习使用Verilog HDL 进行时序电路的设计方法 2. 掌握灵活运用Verilog HDL进行各种描述与建模的技巧和方法 ...

  2. 计算机综合布线实验报告,综合布线设计实验报告.doc

    综合布线设计实验报告.doc 桂林电子科技大学综合布线实验报告实验名称布线工程图设计制作实验辅导员意见电子信息工程系通信技术专业班第2实验小组作者张三学号091同作者辅导员实验日期2011年5月31日 ...

  3. 计算机原理综合设计实验报告,计算机组成原理--课程设计实验报告.doc

    武汉工业学院 数学与计算机学院 组成原理课程设计 专 业:计算机科学与技术 班 级:________________ 学 号:________________ 姓 名:________________ ...

  4. 计算机组成原理快速加法器与32位ALU设计实验报告(8位可控加减法电路设计、CLA182四位先行进位电路设计、4位快速加法器设计、16位快速加法器设计、32位快速加法器设计)

    实验二 快速加法器与32位ALU设计实验 本次实验,进行了五个实验,分别是8位可控加减法电路设计.CLA182四位先行进位电路设计.4位快速加法器设计.16位快速加法器设计.32位快速加法器设计.这次 ...

  5. 计算机专业论文系统设计与实现,计算机专业毕业论文-个人博客系统的设计与实现.doc...

    计算机专业毕业论文-个人博客系统的设计与实现 个人博客系统的设计与实现 摘 要 博客近两年来在国内的发展非常快速,它可作为使用者个人或工作当中的文章.连结.照片的分享平台,逐渐成为以内容为主的新兴网路 ...

  6. 成贤学院计算机组成原理,东南大学成贤学院计算机硬件课程设计报告解读.doc...

    计算机硬件课程设计 设计报告 学号 姓名:王宇 学号 姓名:杜冰 2015年10月29日一.课题名称: 微程序控制模型计算机的设计 二.实验目的: 1.运用"计算机组成原理"和&q ...

  7. 计算机专业汇报与接口,计算机接口设计实验总结.doc

    计算机接口技术课程设计报告 班级: 专业: 学号: 姓名: 报告目录结构: 一.实验目的 二.实验要求 三.课程设计使用的设备(环境) 四.实验原理: 五.实验内容及大概步骤 六.实验结果 七.总结分 ...

  8. 计算机楼综合布线设计图,宿舍楼综合布线设计含图.doc

    宿舍楼综合布线设计含图 信息工程学院-网络规划与综合布线 PAGE 第 PAGE 10 页 共10页 目 录 HYPERLINK \l _Toc5475 摘要1 HYPERLINK \l _Toc27 ...

  9. 计算机绘图千斤顶,AUTO CAD课程设计实验报告.doc

    文档介绍: AUTO CAD课程设计实****报告 全套CAD图纸,联系695132052 一.课程设计的目的 综合运用<工程图学>.<计算机绘图>等课程和其他先修课程的知识和 ...

最新文章

  1. OpenStack neutron中AsyncProcess类
  2. 改变UITableView选中行高亮的颜色
  3. tf.Variable 和 tf.get_variable的区别(2)
  4. 使用PuTTY、Xshell远程连接Linux,密钥认证连接
  5. 操作系统:分享Win11几个实用小技巧,赶快收藏吧!
  6. (转)会议期刊论文发表介绍(计算机科学领域)
  7. UI标签库专题八:JEECG智能开发平台 Menu(左侧菜单生成标签)
  8. NI Vision for LabVIEW 基础(一):NI Vision 简介
  9. 新版网易新闻客户端应用源码
  10. xmpp协议详解一:xmpp基本概念
  11. 【bzoj5072】[Lydsy十月月赛]小A的树 树形背包dp
  12. 非接触式IC卡的分类(三)
  13. python爬取京东商品信息_python爬虫:爬取京东商品信息
  14. web简单静态页面设计【HTML+CSS】
  15. C# 开发的网络数据包抓取的的实现
  16. wampServer 设置
  17. 【重要】黑苹果安装之 BIOS 设置篇
  18. 一份合格的软件需求规格说明书的要求
  19. 云业务贡献40.5%增长:亚马逊2022年财报亮点解读
  20. Office VBA开发经典-中级进阶卷(75元包邮)

热门文章

  1. 关于PWM,SPWM,SVPWM的整理
  2. 我的Ubuntu装机配置
  3. vue页面导出pdf文档并上传pdf格式给后台
  4. 2022爆火的AIGC,能给AI续命吗
  5. Java实现导出Excel
  6. DELL服务器磁盘分区
  7. 西安西北大学计算机排名,全国计算机排名真是这样吗?
  8. 2022.11.5 英语背诵
  9. 计算机提升三年计划教师,教师个人发展三年工作计划3篇
  10. 研一(研究生)看论文文献必须要知道的几个网站