首页 >> js开发 >> jsvue data变量相互赋值后被实时同步的解决步骤js大全

jsvue data变量相互赋值后被实时同步的解决步骤js大全

发布时间: 2021年1月13日 | 浏览:

| 分类:js开发

数据结构是这样子的

data() {

return {

title: 'web前端 this data变量相互赋值后被实时同步问题',

val_1: 'vue',

val_2: ''

}

}

data() {

return {

title: 'web前端 this data变量相互赋值后被实时同步问题',

val_1: 'vue',

val_2: ''

}

}问题源:问题源:我们在onload()把val_1 赋给 val_2 (或者在用户click时更改也都会出现这个问题)

onload() {

this.val_2 = this.val_1;

}

onload() {

this.val_2 = this.val_1;

}解决方法:解决方法:解决方法:我们在通过JavaScript把 “val_1” 转换成字符串类型,再JSON.parse,最后再赋给 “val_2” 就解决了

onload() {

this.val_2 = JSON.parse(JSON.stringify(this.val_1));

}

onload() {

this.val_2 = JSON.parse(JSON.stringify(this.val_1));

}补充知识:vue定义的全局变量在一个方法赋值过后在另一个方法中是空补充知识:补充知识:vue定义的全局变量在一个方法赋值过后在另一个方法中是空在学习vue的过程中,发现了许多小的问题,例如:我定义一个全局变量a,为了可以在下面定义的方法中一直取得到这个全局变量,在方法中我添加了一个jquery的click方法,在这个方法里面用this.a = b(自定义的一个变量);然后到另一个方法中去使用这个全局变量a,发现根本没有进行赋值,还是原来的全局变量的值。原因如下:因为click函数使this的指向发生了变化。所以要在click函数的外面添加一句:原因如下:

var self = this;

var self = this;然后在click函数里面为全局变量赋值要写:

self.a = b;

self.a = b;这样就不会改变this的指向了!以上这篇vue data变量相互赋值后被实时同步的解决步骤就是小编分享给大家的全部内容了,希望能给大家一个参考。

vue变量赋值到html,jsvue data变量相互赋值后被实时同步的解决步骤js大全-js开发...相关推荐

  1. mysql data too large_MySQL数据库之mysql 主从同步故障解决   Error 'Row size too large ( 8126)....

    本文主要向大家介绍了MySQL数据库之mysql 主从同步故障解决   Error 'Row size too large (> 8126). ,通过具体的内容向大家展现,希望对大家学习MySQ ...

  2. mysql declare 赋值_sql server和mysql变量赋值的区别 以及 MySql Declare(转)

    sql server和mysql都是我们经常用到的数据库系统,下面就为您介绍sql server和mysql变量赋值的区别,希望对您能有所启迪. sql server中变量要先申明后赋值: 局部变量用 ...

  3. vue 如何在 style 标签里使用变量(数据)

    参考资料 SFC CSS Features | Vue.js 在 style 中使用 data 变量 options 方式: <template><div class="t ...

  4. 如何给图片赋值_医学数据的变量类型及在SPSS中的赋值方法(医学统计前的重要步骤)——【杏花开医学统计】...

    杏花开生物医药统计 一号在手,统计无忧! 关 注 医学数据的变量类型 及在SPSS中的赋值方法 关键词:医学数据,变量类型,赋值,spss 下方为视频版和音频版,含软件操作步骤 导  读 不同的医学数 ...

  5. C/C++中的指针变量可以相互赋值,使得它们指向同一块地址空间

    两个指针变量可以相互赋值吗? int *p, *q; p=q; 这样写是什么意思?是p指向q吗? 答:1.两个指针变量之间可以相互赋值,p和q都是int类型的指针. p=q表示将q所指向的int类型变 ...

  6. 【Shell】设置变量默认值,参数默认值, 自动赋值

    设置变量默认值,参数默认值, 自动赋值 转自:https://zhuanlan.zhihu.com/p/98636736 默认参数(变量默认值) if 繁琐方式 if [ ! $1 ]; then$1 ...

  7. python变量无需创建赋值_Python 第 2 章 变量及赋值运算符

    Python 第 2 章 变量及赋值运算符 计算机语言历史演变 机器语言-汇编语言-高级语言 Python属于高级语言, 因为计算机运行的机器语言也就是二进制010101的编码, 所以我要让计算机知道 ...

  8. c语言字符指针初始化赋值,C语言_指针变量的赋值与运算,很详细详解

    指针变量的赋值 指针变量同普通变量一样,使用之前不仅要定义说明, 而且必须赋予具体的值.未经赋值的指针变量不能使用, 否则将造成系统混乱,甚至死机.指针变量的赋值只能赋予地址, 决不能赋予任何其它数据 ...

  9. python变量的赋值操作_Python中关于变量赋值操作的实例分享

    这篇文章主要介绍了Python编程之变量赋值操作,结合实例形式分析了Python赋值.位移.多元赋值操作符等相关操作使用技巧,需要的朋友可以参考下 本文实例讲述了Python编程之变量赋值操作.分享给 ...

  10. python同时输入多个变量_python同时给多个变量赋值

    同时赋多个值 以下是一种很酷的编程捷径:在 Python 中,可使用元组来一次 赋多值. >>> v = ('a', 2, True) >>> (x, y, z) ...

最新文章

  1. CNN为何把地震和计划生育扯一起
  2. 性能跟踪_ORACLE
  3. 事件 绑定,取消冒泡,拖拽 ,点击,事件委托习题
  4. jsp oracle 登录页面,Oracle数据库之ORACLE+Myeclipse+jsp实现简单登录功能
  5. 深入grootJs(进阶教程)
  6. 解析Hibernate的结构
  7. android button imagebutton 区别,android - 可点击的ImageView和ImageButton之间的区别
  8. 06-在IDEA中实战Git
  9. 【论文笔记】Simple and Deep Graph Convolutional Networks
  10. [转载] C++学习之异常处理详解
  11. python等待用户输入_Python等待时间,等待用户输入
  12. 为CMUSphinx训练声学模型教程手册
  13. 计算机vb题库程序代码编写,11计算机专业VB试题(二)
  14. scada系统集成_MES与EPR进行系统集成的实际案例-系统接口、交互数据分析
  15. 使用Inkscape制作网易云音乐LOGO
  16. 自主创业一年,自己总结了5个创业心得
  17. 四元数与三维向量相乘运算法则
  18. 一次累加序列折线图解释_如何解释非累加性措施第1部分按比例分配
  19. 快消品行业B2B电商平台解决方案
  20. 一个可以免费下载表情包的小程序

热门文章

  1. 【资源共享】SPI开发指南
  2. oracle数据库之间数据同步
  3. RedHat6使用centos6的yum源
  4. Java多线程之可见性与原子性——synchronized VS volatile
  5. USACO习题:Your Ride Is Here
  6. 消防信号二总线有没电压_杭后旗医院消防消防设备电源原理
  7. sm缩写代表什么意思_PE给水管常见的字母缩写都代表什么?
  8. storm 使用外部配置文件提交拓扑
  9. Windows WMIC 命令使用详解 (附实例)
  10. matlab绘制不同线性的直方图,在matlab中绘制多个垂直直方图