名言:踩过的坑,尽量避免第二次掉坑,只有这样才是明智的。

1 问题描述

1.1 最近使用ISE14.7软件进行项目设计,遇到很多问题,当然了,不断解决出现的问题,不断的提升自己技术,但是在开发软件上浪费了很多问题。

1.2 工程准备好,准备上板调试时,添加调试核(添加 ChipScope Definition and Connection File)时,File name起了一个和设计顶层不一样,生成bit文件时,布局布线过不了,报error。

2 解决方法

解决以上问题,重新添加调试核,名字起一个和工程顶层一致的名称,解决报error问题。

建议:设计顶层名称(top.v)、约束文件名称(top.ucf)、调试核(top.cdc)名称一致,否则,出现各种错误,解决error浪费调试时间。

3 结束语

如果遇到问题,可以一起沟通讨论,邮箱:jhqwy888@163.com。

(18)ISE14.7调试核名称与顶层名称不一致导致生成bit报error(FPGA不积跬步101)相关推荐

  1. (13)ISE14.7bit文件生成mcs文件(FPGA不积跬步101)

    1 什么是bit文件? bit文件就是FPGA设计的最终文件,用于配置FPGA的配置比特流. 2 什么是MCS文件? 将bit文件转为MCS文件,然后下载MCS文件到ROM中.在ISE14.7下生成B ...

  2. (6)ISE14.7生成bit文件报错解决(FPGA不积跬步101)

    引言:丘山积卑而为高,江河合水而为大.--庄子 1 软件平台 操作系统:Windows 10 开发套件:ISE14.7 2 问题描述 FPGA开发工具ISE14.7与win10系统存在兼容问题,即使w ...

  3. (4)ISE14.7 ChipScope使用流程(FPGA不积跬步101)

    名言 工欲善其事,必先利其器. 1 ChipScope是什么? ChipScope 是Xilinx提供的一个校验FPGA设计的工具,它的本质是片上逻辑分析仪.主要功能是通过JTAG口,在线实时读取FP ...

  4. (19)ISE14.7软件生成bit失败永久解决方法(FPGA不积跬步101)

    名言:工欲善事必先利器. 1 问题描述 ISE14.7与win10 64位系统无法完美兼容,即使破解也会导致随机性生成bit文件失败. 之前笔者也尝试了好多解决方法,但是经过最近调试时,之前发布的解决 ...

  5. (30)SPI接口调试丢数据解决(FPGA不积跬步101)

    1)遇到问题 FPGA与微处理器进行数据交互用到了SPI接口总线,SPI总线已经很成熟了,网上也有好多开源代码.但是,项目开发调试中也遇到SPI通信丢帧验证问题. 2)解决方法 a.设计思路为:SPI ...

  6. (23)ISE14.7 PLL输出时钟不能直接输出到普通IO(FPGA不积跬步101)

    1 问题描述 开发软件:ISE14.7. 硬件平台:Xilinx Spartan6. PLL的时钟直接连接到IO,map失败是报错误,错误如下: Place:1136 - This design co ...

  7. (12)ISE14.7仿真流程(FPGA不积跬步101)

    名言:努力成为一个优秀的人! 1 ISE14.7仿真器 ISE14.7开发软件除了编译功能,还支持代码仿真功能,不用关联第三方仿真软件也可以进行设计仿真. 2 ISE14.7仿真流程 使用ISE14. ...

  8. (7)ISE14.7无用引脚设置上下拉或高阻态(FPGA不积跬步101)

    名言:在一个领域不断增加深度,你会成为该领域专家. 1 FPGA设计中没有使用的IO管脚如何处理? 根据设计要求一般会设置为上拉.下拉或者高阻态.ISE14.7未使用管脚默认设置为下拉.实际设计中一般 ...

  9. (1)win10 64位系统ISE14.7闪退问题(FPGA不积跬步101)

    1 引言 自救就是在一个危险环境中,没有他人的帮助扶持下,靠自己的力量脱离险境,这就是自救. 善于积累也就是自救. 2 ISE14.7闪退处理流程 1 )问题描述如下: win10 64位系统安装IS ...

最新文章

  1. 和12岁小同志搞创客开发:如何驱动 12864 OLED液晶显示屏?
  2. 拼图游戏 复制粘贴一个叫lemene的人的,这个人是c++博客的用户,我不是,怕以后找不到这篇文章,所以复制粘贴了。文中最后给出了原文链接连接...
  3. 0基础学python看什么书-零基础学python编程需要看什么书?
  4. PS想象的力量无限大,设计师的脑洞无限大!
  5. vue 限制输入字符长度
  6. Kuma 1.0 GA发布,70多项新功能和改进
  7. vuex webpack 配置_vue+webpack切换环境和打包之后服务器配置
  8. golang java rpc_golang两种调用rpc的方法
  9. android volley 线程,android第三方框架(一)过时的框架volley
  10. try catch中getRequestDispatcher跳转
  11. 将string转换为char*
  12. sql 语句美化工具
  13. Rainmeter天气不能更新、win地图不能检测定位
  14. nginx+mysql8+php8建站
  15. rhel6.5 oracle12c,中标麒麟Linux6.5安装Oracle12C配置过程
  16. 攻防世界-Misc-新手练习记录
  17. 关于笔记本电脑屏幕的亮,暗点问题的民意调查
  18. JS数据类型检测的坑和通用数据类型检测方法
  19. 前端简历如何描述项目经历
  20. Java依赖于抽象不依赖于具体,依赖倒置原则(Dependecy-Inversion Principle)

热门文章

  1. php获取模型错误,php – 解析错误,期望activecollab模型类中出现“T_PAAMAYIM_NEKUDOTAYIM”错误...
  2. ToPILImage
  3. Python机器学习:逻辑回归007scikit-learn中的逻辑回归
  4. 手机linux比windows省电,为什么win10比ubuntu省电?
  5. python是开源语言吗c,属于新十年的开发语言:Go语言可能很快会取代Python
  6. java蓝桥杯省赛第十届_2019年第十届蓝桥杯省赛-迷宫(BFS/Excel大法)
  7. ofstream 向文件写数据
  8. c#实现http文件下载
  9. python自动修图_有码变高清!AI修图PULSE一秒还原马赛克
  10. 中专计算机网络技术试卷,2018年中职对口升学计算机网络技术模拟试题