前言:

这是之前帮朋友做的一个4路抢答器。由于时间太久了,我已经忘记怎么做的了。现分享仿真原理图给大家,希望对大家有所帮助。

设计要求:

  1. 4名选手编号为:S1,S2, S3 ,S4。各有一个抢答按钮,按钮的编号与选手的编号对于,也分别是S1,S2, S3 ,S4。
  2. 给主持人S6设置一个控制按钮,用来控制系统清零(灭灯)和抢答开始
  3. 抢答器具有数据锁存和亮灯功能。抢答开始后,若有选手按动抢答器,按选手编号对应的LED灯亮起,同时蜂鸣器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的灯一直保持到主持人将系统清零为止

仿真电路图:

最后:
需要代码的可以自行下载。下载链接
下载操作:

数电课设-4路抢答器相关推荐

  1. 在设计四人抢答器中灯全亮_数电课设四路抢答器的设计

    数电课设四路抢答器的设计 4 路抢答器的设计 绪论 : 随着经济水平的不断提高,当今的社会竞争日益激烈,选拔人才,评选优 胜,知识竞赛之类的活动愈加频繁,智力竞赛是一种生动活泼的教育方式,人 们在物质 ...

  2. sr锁存器 数电_数电课设其实也没那么难2.1

    1 引言 如上就是 课题二.数字式抢答器 课题三.多路彩灯控制器 相比天天在路口见的红绿灯 这俩题可能抽象了一点 我自己是课题三 做的时候发现 这题还真不是最简单的一个... 一步一步来吧 数电并不是 ...

  3. Multisim数电课设-乒乓球游戏实现-设计分享

    题目要求 设计题目 乒乓球游戏机.用LED表示乒乓球位置和球拍.控制球拍在合适的时机击球.一方失球另外一方得分. 期望功能 1).设置8个LED表示球的位置,球可以往复运动 2).球运动到最边缘时,检 ...

  4. Verilog实现交通灯(数电课设)----------旧

    这里是新写的交通灯Verilog实现交通灯(数电课设)----------新:https://blog.csdn.net/qq_41467882/article/details/86626507 里边 ...

  5. 交通灯控制系统(30-5-20-5)【数电课设】

    交通灯控制系统[数电课设] 相关资源 一.红绿灯交通信号系统功能概述 二.任务和要求 三.红绿灯交通信号系统 四.电路设计 1.时钟产生模块 2.状态转换模块 3.计时模块 1)置数单元 2)计时单元 ...

  6. 数字电子钟Multisim仿真(数电课设+实验报告)

    我本次的数电课设是设计一个电子时钟,并用Multisim实现仿真 因为自己刚开始做这个实验的时候也是网上的一些资料帮助了自己,所以我也想把自己的东西分享出来,给其他人一些思路. 这个是总体的设计要求 ...

  7. 纯硬件分立式数字电子钟proteus仿真(数电课设,含时间显示、校准、整点报时、闹钟功能)

    [纯硬件分立式数字电子钟proteus仿真(数电课设,含时间显示.校准.整点报时.闹钟功能)] 数电课设的仿真题目,老师给了优秀,拿出来给大家做个参考,整体电路图如下: 整个设备包括显示环节.闹钟环节 ...

  8. 【全套资料.zip下载】数电课设-走廊声光控延时节能灯电路设计【Multisim仿真+报告+讲解视频.zip下载】

    文章目录 数电课设-走廊声光控延时节能灯电路设计[Multisim仿真+报告+演示视频.zip] 一.Multisim仿真源文件 二.仿真视频原理讲解 三.原理文档报告 资料下载[Multisim仿真 ...

  9. 电子密码锁——数电课设

    一.方案设计 二.方案设计与论证 一个具有4位密码的十进制输入的电子密码锁 4位密码能进行设置.显示(可隐藏). 当输入密码正确时,能进行开锁. 具有开锁时间限制功能,触动"密码输入&quo ...

最新文章

  1. 编写c语言程序 斐波那契,C语言程序实现斐波那契数列的解题思路???
  2. python中用于标识字符串的定界符_Python合集之Python数据类型(二)
  3. 在 Element-UI 的 Table 组件上添加列拖拽效果
  4. Apache+php+tomcat+mysqlon linxu
  5. Docker学习总结(17)——学会使用Dockerfile
  6. 通过自定义Module实现URl重写和登陆验证
  7. 补发《超级迷宫》站立会议八
  8. 【UVA11059】Maximum Product(set+set默认从大到小排列---水题)
  9. ISO 17799 /27001标准简介
  10. 芒果iOS开发之Swift教程01-Swift基础
  11. 计算机网络说课教案,认识计算机网络说课稿PPT课件.ppt
  12. html注册cab包,OCX控件打包成CAB并实现数字签名过程
  13. linux下ssh工具自动登录的实现
  14. Matlab中FrechetDistance方法实现---比较两条曲线的相似性,并绘制曲线
  15. 课设——八皇后问题(N皇后解决)
  16. Android Google Map 开发指南(一)解决官方demo显示空白只展示google logo问题
  17. Python列表去重顺序不变
  18. 数据交换协议--JSON、XML、YAML、TOML、TLV
  19. 串行外设接口(Serial Peripheral Interface, SPI)逻辑设计部分 - spi_master
  20. Intellij idea 报错:Error : java 不支持发行版本5

热门文章

  1. 圣斗士星矢游戏抽奖计算机怎么计算,圣斗士星矢手游抽奖技巧解析 教你抽橙卡...
  2. tlwdr5660间歇性掉线_普联技术 TL-WDR5660路由器如何,大家这么说
  3. 关于易语言 无法加入dll命令 没有dll 的解决方式
  4. 杜比 dts区别_杜比数字(Dolby Digital)与DTS有什么区别,我应该注意吗?
  5. C++实现无头结点单链表
  6. JVM-前端编译与优化
  7. android开发 nfc,Android NFC开发概述
  8. oracle 部分多字节字符,ORA-29275:部分多字节字符
  9. 绘制镇街区域的Echarts地图
  10. 60个项目管理甘特图模板,可编辑,可下载