从实验室借了块ZC706,好久没玩FPGA了,跟着官方例程,做了个IBERT,居然没LINK,时钟也没LOCK,百思不得其解。

  1. 由于ZC706的GTX没有板载时钟(从SI5324配置那个另说),我的时钟是从PCIE金手指那里输入的,用示波器看过,100MHz波形妥妥的。
  2. 用万用表测了下GTX的三路电压,一测正常真吓我一跳,1.0V和1.2V均正常,唯独MGTVCCAUX居然是1.5V,看了下原理图,确实是按1.8V设计的,况且在下也见过几十块7系列的FPGA板子,而且手册上也是白纸黑字写的MGTVCCAUX=1.8V,无奈之下,找了另一块ZC706,测了下MGTVCCAUX也是1.5V,而且该板子号称GTX是没问题的,好吧,我服了
  3. 按原理图把ZC706的电阻换一下,先将MGTVCCAUX调成1.8V再说,电压调成1.8V,再用IBERT,还是不通,并且,我将金手指输入的100MHz时钟,通过IBUFDS_GTE2引进来,再输出到USER_SMA_CLOCK_P/N,上示波器,没输出
  4. 准备放弃了,使出最后一招,把156.25MHz的USERCLK_P/N输出到USER_SMA_CLOCK_P/N,然后,在外部用两根差不多等长的线,把USER_SMA_CLOCK_P/N和SMA_MGT_REFCLK_P/N连接起来,然后IBERT终于迎来了久违的LOCK和LINK。
`timescale 1ns / 1ps
//
// Company:
// Engineer:
//
// Create Date:    13:58:09 02/02/2012
// Design Name:
// Module Name:    gtxe2_top
// Project Name:
// Target Devices:
// Tool versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//
module gtxe2_top(input user_clock_p,input user_clock_n,output user_sma_clk_o_p,output user_sma_clk_o_n);wire clk_out;
wire clock_bufg;reg q;IBUFDS IBUFDS_inst_user_clock(.O(clock_out), // Buffer output.I(user_clock_p), // Diff_p buffer input (connect directly to top-level port).IB(user_clock_n) // Diff_n buffer input (connect directly to top-level port)
);BUFG BUFG_inst_user_clock (.O(clock_bufg), // 1-bit output: Clock output.I(clock_out));ODDR #(.DDR_CLK_EDGE("OPPOSITE_EDGE"), // "OPPOSITE_EDGE" or "SAME_EDGE" .INIT(1'b0),    // Initial value of Q: 1'b0 or 1'b1.SRTYPE("SYNC") // Set/Reset type: "SYNC" or "ASYNC" ) ODDR_out_clock_inst_user_clock (.Q(clock_out_ddr),   // 1-bit DDR output.C(clock_bufg),   // 1-bit clock input.CE(1'b1), // 1-bit clock enable input.D1(1'b1), // 1-bit data input (positive edge).D2(1'b0), // 1-bit data input (negative edge).R(),   // 1-bit reset.S()    // 1-bit set);OBUFDS OBUFDS_inst_user_clock (.O (user_sma_clk_o_p),     // Diff_p output (connect directly to top-level port).OB(user_sma_clk_o_n),   // Diff_n output (connect directly to top-level port).I (clock_out_ddr)      // Buffer input );endmodule

ZC706 GTX折腾记相关推荐

  1. 战神笔记本ubuntu 18.04.1LTS cuda10.0安装折腾记

    战神笔记本ubuntu 18.04.1LTS cuda10.0安装折腾记 折腾前奏 安装准备 安装Ubuntu18.04.1系统 cuda 10.0 安装过程 折腾前奏 写下本记录的原因是因为网上的教 ...

  2. linux卸载桌面Ubuntu,Ubuntu安装卸载KDE桌面之折腾记

    记得几年前刚开始用的是OpenSUSE,桌面环境好像是KED1.5的,经常性崩溃阿,太让人无语了,动不动就崩溃,但是对Linux也不熟悉,没有比较好的方法,后来就转Ubuntu了. 今天看到nenew ...

  3. n1运行linux,斐讯N1折腾记:运行 Linux 及优化

    此教程同样适用于斐讯 P1 咳咳咳,上篇教程教大家给斐讯 N1 降级并且刷了官改系统,可以当作一个电视盒子和下载机来使用. 有些小伙伴可能不想把它当作电视盒子,就想把它当作 NAS 或者是服务器,但是 ...

  4. React 折腾记 - (4) 侧边栏联动Tabs菜单-增强版(结合Mobx)

    前言 有小伙伴留言有前进后退没法联动的问题.我仔细梳理下了. 简化了代码逻辑和代码量,重写了一遍,执行逻辑和上个版本有所差异; 上个版本 :React 折腾记 - (3) 结合Mobx实现一个比较靠谱 ...

  5. React 折腾记 - (1) React Router V4 和antd侧边栏的正确关联及动态title的实现

    前言 一如既往,实战出真理. 有兴趣的可以瞧瞧,没兴趣的大佬请止步于此. 免得浪费您的时间 效果图 基于antd的sidebar组件封装 折腾记的技术栈选型 Mobx & mobx-react ...

  6. rtl8811au黑苹果10.15_荣耀MagicBook I5黑苹果折腾记(超详细教程与排坑)

    本文主要介绍如何安装Win10 + Mac OS双系统,以及安装过程中可能遇到的一些坑. 本人的机型是:MagicBook I5-8250U MX150独显 8GB+256GB (VLT-W50),更 ...

  7. 水星路器服务器无响应,联想M720Q、光网卡、Pon stick、Openwrt我的完美软路由折腾记...

    联想M720Q.光网卡.Pon stick.Openwrt我的完美软路由折腾记 2020-11-11 15:43:09 26点赞 208收藏 74评论 一直以来就是个完美党(其实就是zuo) ,之前的 ...

  8. 联想微型计算机m910q6,一台比较完美的黑苹果小主机 联想M910Q折腾记 opencore EFI分享...

    一台比较完美的黑苹果小主机 联想M910Q折腾记 opencore EFI分享 2020-05-27 13:23:39 77点赞 487收藏 93评论 追加修改(2020-05-27 19:27:06 ...

  9. 第二空间计算机最新破解,我的J4125黑群晖折腾记 - 软件篇:无需U盘引导及固态硬盘扩充空间容量法...

    我的J4125黑群晖折腾记 - 软件篇:无需U盘引导及固态硬盘扩充空间容量法 2020-10-16 18:33:49 53点赞 418收藏 25评论 [前言]:继上一篇<我的J4125黑群晖折腾 ...

最新文章

  1. iOS 解决app退出后台应用重新启动的问题
  2. 从网络上获取一张图片简单的
  3. Nat. Med. | 制定指导原则以报告AI在临床试验中的使用
  4. mysql 8.0.21 安装配置方法图文教程
  5. 直播这把“开鱼刀”能否救蘑菇街于“扑街”?
  6. Java初学者习题20道(转)
  7. Promethus搭建 K8S 集群节点资源监控系统
  8. java基础—IO流——字节流的操作演示
  9. Clipsync – 同步 Win 和 Android 剪贴板
  10. 设计模式——建造者模式 1
  11. Deep Speaker代码解析
  12. Icons Cube4Nano专业外置声卡设备机架、音视频会议的播放和录音设置
  13. Unity3d学习之路-初识GameSparks多人游戏插件
  14. 如何在Adobe Illustrator中绘制花园里的小矮人
  15. 通过域名地址加载eot|otf|ttf|woff|svg等报404 错误问题
  16. 公众平台服务号、订阅号、企业微信、小程序的区别
  17. Linux下设置桌面快捷方式(应用图标快速启动)
  18. 一个真实的用户画像实例。
  19. 企业盈利能力五大指标之:净利率
  20. 破解庞加莱猜想--中国人干的!

热门文章

  1. 计算机汇编语言教程pdf,计算机汇编语言入门.pdf
  2. 关于outlook无法打开,提示无法启动Microsoft Outlook。无法打开此文件集合。到 Microsoft Exchange的登录失败
  3. 【Electron】解决 npm安装出现 self-signed certificate in certificate
  4. Windows 10 专业版Edge浏览器大声朗读中 Online(Natural)语音无法使用的问题
  5. 京东技术三面 +HR 面,成功拿到 30K offer 就职京东
  6. HDU 2017 多校联赛 1002 Balala Power!
  7. 计算机与化学相关论文,计算机与化学论文写作.doc
  8. 我的世界简易红石机器
  9. 为什么电源纹波那么大?
  10. c++工程在 Mac 下编译成.a库调用