首先我要先下载好东西,需要的东西

①:perl  这玩意干嘛的呢?就是让你在windows环境下能跟linux环境一样编译和安装东西

怎么下载和安装perl?

先要访问这个地址 https://www.activestate.com/products/activeperl/downloads/

进到里面是不是懵逼了?不管它,点击你要的版本(我怕很多人不懂,所以下面的图有点啰嗦,详细)

点击进去,如下图(如果你没有注册过这玩意,它会直接到下面的图)

注册完毕,进行登录之后如下图

点击创建,继续

点击上图的右上角,到个人中中心的控制台,点击之后进入下图

点击这个文件包进去

看到我们刚才的创建的东西了,注意要点击你要的版本,现在我们需要的Windows版本,点击windows10那个版本

下载完成后直接安装,要想知道是否成功安装了perl,在cmd命令窗口输入如下命令

perl -v

输入之后如图

②:下载和安装openssl

下载地址:https://www.openssl.org/source/

访问页面如图

下载完成之后直接解压到指定文件夹,例如我本地解压到D:\webUtils\openssl-1.0.2s下

找到你系统的visual studio command prompt,例如我的win10(每个人的版本可能不一样,不用太在意,找到类似的就行)

点开之后cd到你刚刚解压openssl的那么目录,例如我刚刚解压的目录为D:\webUtils\openssl-1.0.2s,那我现在就要cd到这个目录下,然后执行如下命令(注意是逐条执行)

perl Configure VC-WIN32 no-asm --prefix=c:\opensslms\do_msnmake -f ms\ntdll.maknmake -f ms\ntdll.mak testnmake -f ms\ntdll.mak install

执行完命令后,cmd界面输入如下命令验证是否安装成功

openssl version -a

③:如何生成.pem文件,直接参考这篇文章

https://blog.csdn.net/haibo0668/article/details/81369607

参考文章地址:https://www.jianshu.com/p/78bd18af06a0

window下生成.pem文件相关推荐

  1. ssl证书生成pem文件

    一般通过FreeSSL生成的证书(nginx下)是chain.crt和key.key格式, 而Nginx或者其他SSL服务加载为pem格式,现转换方法如下: 第一步: mkdir /etc/ssl/c ...

  2. vs2012中程序集生成无法自动在网站Bin目录下生成Dll文件?(已解决!)

    最近,突然发现生成程序集后,网站bin目录下dll没有更新,也没有自动生成dll文件,通过近半个小时的摸索和实验,找到了解决方法: 1.右键网站,不是项目,选择[属性页],在左侧[引用]中如果没有,就 ...

  3. linux手动生成dump文件权限不足_linux下生成dump文件方法及设置

    https://andyniu.iteye.com/blog/1965571 Linux中生成 Core Dump 文件的方法 1 什么是 Core Dump Core Dump 又叫核心转储.在程序 ...

  4. Windows下生成 MD5 文件校验和的方法

    在命令提示符下 Microsoft Windows 10 操作系统中内置了一个命令行工具,作为证书服务,即"CertUtil".该命令行提供了一个开关"Hashfile& ...

  5. Windows下生成dump文件的三种方式

    文章目录 前言 一.什么是dump文件? 概述 dump分类 内核模式Dump 用户模式Dump 二.dump生成方式 实现方法 方法一:修改注册表 参数说明 方法二:生动创建转储文件 方法三:通过代 ...

  6. linux下生成dump文件方法及设置

    Linux中生成 Core Dump 文件的方法 1 什么是 Core Dump Core Dump 又叫核心转储.在程序运行过程中发生异常时,将其内存数据保存到文件中,这个过程叫做 Core Dum ...

  7. keil5环境下生成bin文件

    1. 首先对于keil5其编译生成的HEX文件,一般通过勾选如下: 在进行ISP烧写时,就可以通过传送HEX文件进行烧写. 2. 对于烧写而言不仅仅可以通过HEX文件进行烧写,还可以通过BIN文件进行 ...

  8. Qt: Linux下生成.xlsx文件(excel表格文件)

    Qt开发,项目中有个功能是要将数据导出至excel文件,也就是.xlsx或.wps格式文件. 查询一番,需要用到一个库 Qt Xlsx,方法如下: 1. 下载源码: GitHub - dbzhang8 ...

  9. Android环境下生成PDF文件

    一.背景 公司需要一个从本地选择图片生成pdf文件并上传的功能,由于Android本身并没有对pdf的支持,这里选择使用一个第三方的库来达成需求. 二.库的选择 2.1 当前主流的库 在众多Java语 ...

最新文章

  1. Spring Boot thymeleaf模版支持,css,js等静态文件添加
  2. Nginx服务器搭建和基本配置详解
  3. 光伏价格趋势:中美因素汇聚 市场需求向7月延伸
  4. sklearn.feature_extraction.text.CountVectorizer 学习
  5. C++中的private protected public区别
  6. BOOST_CONSTANTS_GENERATE宏相关用法的测试程序
  7. oracle中的open,Oracle 深入分析Open过程
  8. idea连接mysql
  9. base64位转成二进制流_你知道 Base64 编码中的 64 指的是什么吗?
  10. python经典书籍推荐:Python面向对象编程指南 : Mastering Object-oriented
  11. 刚刚编译运行的项目,突然就不能用了。AndroidStudio最难用
  12. nginx负载php导致时间不一致,nginx – 为什么php-fpm会产生并在没有服务器负载的情况下每秒摧毁数百个孩子?...
  13. ezcad旋转轴标刻参数_EzCad 2.0 扩展轴标刻插件使用说明书简体中文(.pdf
  14. java毕业设计源码介绍 基于SSM美好生活九宫格日志网日记网站
  15. 宽带波形测试软件,适用于5G时代的波形测试分析系统是怎样的?
  16. ecshop二次开发攻略
  17. 软件测试及标准(基于ISO/IEC/IEEE 29119系列)
  18. matlab清除历史数据,以前在MATLAB中打开过的m文件的历史记录
  19. MODLE CODE
  20. git 拉取远端所有分支

热门文章

  1. Linux常用命令总结(全)
  2. ControlNet
  3. 文件太大无法复制到U盘
  4. 批量修改文件创建时间
  5. 红领巾竟然还能买?!---小学记忆
  6. MySQL数据库集群实现负载均衡的安装配置详解
  7. 5.爬取5K分辨率超清唯美壁纸
  8. GD32F405时钟配置
  9. 在 windows 上如何根据 dll 动态库生成 lib 文件?
  10. 服务器2012打开远程端口,Windows Server 2012远程默认端口3389的修改