0 文章目录

1)FIFO简介

2)FIFO接口说明

3)FIFO IP定制

4)FIFO IP参数说明

5)FIFO IP仿真

6)FIFO使用经验

6)结束语

1 FIFO简介

1)FIFO是英文First In First Out 的缩写,是一种先进先出的数据缓存器,他与普通存储器的区别是没有外部读写地址线,这样使用起来非常简单,但缺点就是只能顺序写入数据,顺序的读出数据,其数据地址由内部读写指针自动加1完成,不能像普通存储器那样可以由地址线决定读取或写入某个指定的地址。

2)FIFO是FPGA中使用最为频繁的IP核之一,可以通过软件自动生成,也可以自主编写。建议使用 FIFO IP核。

3)根均FIFO工作的时钟域,可以将FIFO分为同步FIFO和异步FIFO。同步FIFO是指读时钟和写时钟为同一个时钟。在时钟沿来临时同时发生读写操作。异步FIFO是指读写时钟不一致,读写时钟是互相独立的。

4)FIFO主要作用有:进行数据缓存和跨时钟域处理。

2 FIFO接口说明

a)满标志:FIFO已满或将要满时由FIFO的状态电路送出的一个信号,以阻止FIFO的写操作继续向FIFO中写数据而造成溢出(overflow)。

b)空标志:FIFO已空或将要空时由FIFO的状态电路送出的一个信号,以阻止FIFO的读操作继续从FIFO中读出数据而造成无效数据的读出(underflow)

Xilinx FIFO IP核使用相关推荐

  1. (42)Xilinx FIFO IP核配置(三)(第9天)

    (42)Xilinx FIFO IP核配置(三)(第9天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)Xilinx FIFO IP核配置(三)(第9天) 5) ...

  2. 快速上手Xilinx DDR3 IP核(3)----把MIG IP核封装成一个FIFO(上)(Native接口)

    写在前面 本文将把Xilinx的MIG IP核DDR3的Native接口进行二次封装,将其封装成一个类似FIFO的接口,使其应用起来更加方便简单. DDR3系列文章: 快速上手Xilinx DDR3 ...

  3. 快速上手Xilinx DDR3 IP核(4)----把MIG IP核封装成一个FIFO(下)(Native接口)

    写在前面 本文将把Xilinx的MIG IP核DDR3的Native接口进行二次封装,将其封装成一个类似FIFO的接口,使其应用起来更加方便简单. 本文为下篇,建议与上篇一起阅读,有利于理解: 快速上 ...

  4. FPGA设计心得(11)关于FIFO IP核使用的一点注意事项

    文章目录 前言 位宽转换 分布式ram资源的FIFO不能变换位宽 Block RAM资源可以变化位宽 Builtin FIFO资源不能变化位宽 FIFO 安全电路 FIFO消耗资源 输出延迟 Read ...

  5. 快速上手Xilinx DDR3 IP核(2)----MIG IP核的官方例程与读写测试模块(Native接口)

    写在前面 接上一篇文章(配置MIG IP过程): 快速上手Xilinx DDR3 IP核(1)----MIG IP核的介绍及配置(Native接口) DDR3系列文章: 快速上手Xilinx DDR3 ...

  6. (51)Xilinx Divider IP核配置(十一)(第11天)

    (51)Xilinx Divider IP核配置(十一)(第11天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)Xilinx Divider IP核配置(十一 ...

  7. (50)Xilinx Multiplier IP核配置(十一)(第10天)

    (50)Xilinx Multiplier IP核配置(十一)(第10天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)Xilinx Multiplier IP ...

  8. (49)Xilinx Subtracter IP核配置(十)(第10天)

    (49)Xilinx Subtracter IP核配置(十)(第10天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)Xilinx Subtracter IP核 ...

  9. (48)Xilinx Adder IP核配置(九)(第10天)

    (48)Xilinx Adder IP核配置(九)(第10天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)Xilinx Adder IP核配置(九)(第10天 ...

最新文章

  1. 帮小猴子准备的高数知识点
  2. mysql命令行各个参数解释
  3. 修改Linux内核的printk缓冲区(log缓冲区)大小
  4. GDCM:gdcm::ByteSwapFilter的测试程序
  5. js数组中的引用类型
  6. android获取短信息,从其ID Android获取短信详细信息
  7. lfu算法实现java_LFU五种实现方式,从简单到复杂
  8. 虚拟linux无线网卡驱动安装失败,救命1 :Atheros AR5212无线网卡驱动安装失败!!...
  9. 通过一个视频剖析数据可视化的秘密
  10. 《剑指offer》面试题42——翻转单词顺序列
  11. 敏捷领导力的反面模式
  12. windows 不安装jdk 运行 jar_详解Windows系统安装运行Mongodb服务(推荐)
  13. VC11、VC14、VC15库对应VisualStudio编译版本
  14. 机器学习----朴素贝叶斯详解
  15. Axure 8 - 中继器实战篇
  16. 彩扩机项目--两个状态指示灯,巧妙的使用二极管的特性,以及物理按键的滤波...
  17. java开发linux常用命令
  18. NestedScrollView 滚动到顶部
  19. JAVA必背面试题和项目面试通关要点
  20. 微信小程序--picke选择器(省市区城市)-- 使用taro开发

热门文章

  1. php apache很慢,Apache 服务器 首次访问特别慢的解决过程,php环境
  2. Java 设计模式之Mediator调节者模式
  3. 服务器系统bsd,BSD操作系统大盘点:其它BSD变体
  4. (四)Netty之Buffer缓冲区
  5. 新型冠状病毒肺炎国内分省分日期从1.16起的全部数据爬取与整理代码(附下载)
  6. mysql 创建用户并授权_教你MySQL-8.0.x数据库授权
  7. php 合并 js css,PHP实现合并多个JS和CSS文件示例
  8. 美国伯克利大学计算机研究生学几年,美国加州大学伯克利分校计算机CS研究生申请条件一览...
  9. 中科大计算机考研科学岛,科学岛研究生_请问谁知道研究生去合肥科学岛与在学校的利与弊啊着急!!!!_淘题吧...
  10. linux sh 必要,Linux Shell学习之基础篇(不适合学习,仅为本人笔记)