1.概述

本文描述的是如何利用QuartusII建立工程并进行基本的仿真操作。

2.建立工程及添加Vreilog文件

2.1 建立工程

1.打开Quartus II,File>>New proje Wizard…

2. 设置工程路径和工程名。

3.是否要添加verilog文件?否的话直接点击next。

4.选择FPGA型号>>NEXT。

5.选择仿真工具和仿真语言,有的是Modelsim-Altera,有的是Modelsim,这个要视情况选择。

6.Finish。

2.2 添加和编写Verilog。

1. File>>New>>Verilog HDL>>OK;

2. 编写代码。

3. 编译。

4. 保存,注意模块名和文件名相同。

5. 设置顶层模块:右键点击你要设置为顶层模块的verilog文件,Set as Top-Level Entity即可将其设置为顶层模块。

3.仿真

1.第⼀次⽤modelsim+quartus的时候需要在quartus中设置modelsim的路径,quartus->tools->Options…>EDA tool options ,在右边选择modelsim的安装路径,如下图:

2.然后选择仿真工具和仿真语言,Assignments>>Settings>>Simulation:

3.生成Testbench模板:点击Start Test Bench Template Writer即可自动生成Testbench。

4.将TestBench添加到工程中:

5.打开testbench文件,编写testbench;编写完后保存,记得模块名要和文件名一致。

6.点击Assignment -> Settings,添加编写完的testbench:

 

7.仿真

Quartus II的基本使用及仿真相关推荐

  1. 基于Quartus II+ModelSim SE的后仿真(Verilog版)

    基于Quartus II+ModelSim SE的后仿真(Verilog版) 一.Quartus 中的相关设置 在Quartus中建立名为counter的工程,设置仿真工具为ModelSim(Veri ...

  2. 【数字系统】简单逻辑电路设计:与非门/逻辑门 Quartus II 环境/Verilog 语言/编程+仿真+开发板/FPGA/CPLD/EDA

    一. 实验要求 1. 实现二输入与非门电路以及其他简单门电路: 2. 在Quartus II 环境下,运用Verilog HDL 语言进行编程开发,并完成对电路工作情况的仿真模拟: 3. 完成配置程序 ...

  3. Quartus ii和Modelsim SE联合仿真的问题总结

    ** 1. 如何导入quartus ii库 ** 1.设置工作路径 打开modelsim安装目录(我的modelsim安装在d:/modelsim目录下),新建文件夹altera.后面的步骤将在该目录 ...

  4. Quartus II 9.0sp1之功能仿真

    文章目录 功能仿真 功能仿真 功能仿真的意思就与C++中利用数据结果调试代码类似,只用于解决在理论层次上对应输入的输出是否正确,是没有考虑门输入延迟的,所以结果就更加接近理论值标准,具体操作如下: 1 ...

  5. (筆記) 如何使用ModelSim作前仿真與後仿真? (SOC) (Quartus II) (ModelSim)

    Abstract 本文介紹使用ModelSim做前仿真,並搭配Quartus II與ModelSim作後仿真. Introduction 使用環境:Quartus II 8.1 + ModelSim- ...

  6. Quartus II 13.0波形仿真

    先放结论:Quartus II 13.0有自带的仿真工具,能实现波形仿真. 之前一直找不到关于Quartus II 13.0的波形仿真,然后百度的都是说quartus ii 9.0之后的版本就没有这个 ...

  7. Quartus II 仿真

    Quartus II 使用university program VWF仿真 1.File->new->university program VWF->OK打开仿真页面 2.edit- ...

  8. 基于Quartus II软件FPGA与PC之间的串行通信系统开发(9000+字)

    1.简介与仿真结论 随着集成电路技术的发展,电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域.电子设计自动化是一种实现电子系统或电子产品自动化设计的技术,它与 ...

  9. (原創) 如何使用SignalTap II觀察reg與wire值? (SOC) (Verilog) (Quartus II) (SignalTap II)

    Abstract 撰寫Verilog時,雖然每個module都會先用ModelSim或Quartus II自帶的simulator仿真過,但真的將每個module合併時,一些不可預期的『run-tim ...

  10. 如何使用SignalTap II觀察reg與wire值? (SOC) (Verilog) (Quartus II) (SignalTap II)

    Abstract 撰寫Verilog時,雖然每個module都會先用ModelSim或Quartus II自帶的simulator仿真過,但真的將每個module合併時,一些不可預期的『run-tim ...

最新文章

  1. 短短的 RESTful API 设计规范
  2. Extmail + Postfix on Debian5
  3. PHP时间戳 strtotime()使用方法和技巧
  4. android apk瘦身之 图片压缩 tinypng
  5. 对人工智能问题的提问
  6. python生成100个随机数_Python_0——100闭区间产生3个随机数,两种方法排序
  7. 上海市新能源汽车数据平台引入阿里云Lindorm数据库,成本下降20%
  8. linux内核函数kmalloc,Linux_Linux平台上几个常见内核内存分配函数,* kmallocPrototype:#incl - phpStudy...
  9. c command语言学例子,语言学第四章
  10. 【51单片机快速入门指南】5:软件SPI
  11. 计算机系给未来的自己写信,写信给未来的自己
  12. 《背影》----朱自清
  13. “云”起风涌,邮件服务器助航企业云端升级
  14. Bean的作用域scope
  15. puppet详解(八)——puppet自动化
  16. word中输入带圈字符
  17. Twitter 用户推文时间线爬虫
  18. 论文【Learning to Localize Sound Source in Visual Scenes】soundnet的复现
  19. opencv生成3d模型_OpenCV4.2使用viz模块显示3D图像
  20. Java基础练习题(逢七跳过、百钱百鸡、不死神兔、复利(利滚利))

热门文章

  1. 【软件介绍】GWAS meta分析软件:METAL
  2. win7 64位系统PS、AI、PSD缩略图预览补丁
  3. 有哪些毕设免费查重和降重的网站
  4. 仿照MEMZ做一个特效程序
  5. Mysql修改字段长度
  6. 毕业设计!Python实现学生教师刷脸签到系统
  7. 数据库小白 T-SQL练习500题 超详细!带素材!持续更新中~
  8. PASS云计算书简介——接近完美的模式
  9. 2021年“泰迪杯”数据分析技能赛A题
  10. Webstorm汉化方法