关注并标星大同学吧

每天1次,打卡学习

积累1个新知识,增1分职场底气

作者称谓:Horizon

个人介绍: 爱生活爱分享,更爱探索IC设计的美

微信公众号:集成电路设计及EDA教程

半导体知识分享第8期

技能升级,从这里开始

1.rtl检查:nLint、LEDA、SpyGlass(正在更新)

1.1 nLint教程:

1.2 LEDA教程:

《LEDA User Guide》

下载地址:

http://note.youdao.com/noteshare?id=3d3419ea1ae5a3a6e0875c6f3f031bf9&sub=081D40774A4A49C1B530FBC9E5871955

《leda使用简介》

下载地址:

链接:https://pan.baidu.com/s/1zF81OD24YSzXluPbt5zy9Q 密码:h6qa

《leda培训讲义》

下载地址:

http://note.youdao.com/noteshare?id=b4c4b3670aa4b76fe2423d153c650060&sub=ADEA7DD72F4F4295AA356573B4A89084

    1.3 SpyGlass教程:

《SpyGlass中文培训教程》

下载地址:

http://note.youdao.com/noteshare?id=9b10a4e689125b93f8f0e06eeab34c34&sub=D5B212533D6A41119F7D0653DE5C8D42

2.动态仿真:Modelsim、VCS

2.1 Modelsim教程:

Modelsim如何进行综合后零延迟仿真

Xilinx ISE如何调用Modelsim进行联合仿真

如何用ModelSim对Xilinx ISE产生的网表进行仿真

 2.2 VCS教程:

VCS简易教程

VCS教程--网表仿真(综合后仿真及后仿真)

VCS教程-生成覆盖率报告

3.Debug工具:Verdi(待更新)

4.逻辑综合:Design Compiler

Tcl与Design Compiler (一)——前言

Tcl与Design Compiler (二)——DC综合与Tcl语法结构概述

Tcl与Design Compiler (三)——DC综合的流程

Tcl与Design Compiler (四)——DC启动环境的设置

Tcl与Design Compiler (五)——综合库(时序库)和DC的设计对象(上)

Tcl与Design Compiler (五)——综合库(时序库)和DC的设计对象(下)

Tcl与Design Compiler (六)——基本的时序路径约束(上)

Tcl与Design Compiler (六)——基本的时序路径约束(下)

Tcl与Design Compiler (七)——环境、设计规则和面积约束

Tcl与Design Compiler (八)——DC的逻辑综合与优化(上)

Tcl与Design Compiler (八)——DC的逻辑综合与优化(下)

Tcl与Design Compiler (九)——综合后的形式验证

Tcl与Design Compiler (十)——其他的时序约束选项(一)

Tcl与Design Compiler (十一)——其他的时序约束选项(二)

Tcl与Design Compiler (十二)——综合后处理

Tcl与Design Compiler (十三)——Design Compliler中常用到的命令(示例)总结

DC软件简介及启动方式

DC中各种库的设置

DC中进行ECO

DC命令讲解connect_pin

5.可测性设计(DFT)工具:

5.1 DFT Compiler:

5.2 BSD Compiler:

5.3 MBIST Architecture:

MBIST知识及MBISTArchitect软件教程

6.ATPG工具:TetraMAX

ATPG之STIL格式说明

ATPG之debug

7.形式验证:Formality

7.1 形式验证基本流程:

形式验证工具Formality教程-1

7.2 形式验证常见问题及解决方案:

形式验证--门控时钟

形式验证--未驱动信号

形式验证--扫描链

形式验证--分模块形式验证

8.布局布线:Synopsys公司的ICC、Astro,Cadence公司的Encounter、Innovus,Mentor公司的Olympus等

8.1 ICC软件教程:

后端设计中常用文件格式说明

IO库与标准单元库中的特殊单元

IC Compiler简介

ICC中的MCMM(Mulit-Corner Mult-Mode)

ICC后端设计准备-1.数据准备

ICC后端设计准备-2.参考库的创建

ICC后端设计准备-3. 为设计创建library

ICC后端设计准备-4. 进行uniquify

ICC后端设计准备-5. 设置TLU+文件

ICC后端设计准备-6. 读入SDC文件,设置芯片工作环境

ICC后端设计准备-7. 检查设计的合理性

布局规划(Floorplan)-1

布局规划(Floorplan)-2

布局规划(Floorplan)-3 电源地规划

布局规划(Floorplan)-4 自动做floorplan的placement,作为floorplan的参考

ICC布局物理约束--No filler 1

用ICC进行布局流程

时钟路径的端点(Stop pins、Exclude pins)

时钟树例外中的引脚例外(exclude pin、stop pin、non_stop pin、float pin)

ICC中进行CTS的流程

ICC-CTS过程中需要注意的地方--CTS后时钟树延迟信息的更新与报告

CTS之后对Skew进行Debug的好工具--ICC中的Interactive CTS Window

一个考虑了Scan、Boundary Scan、分频时钟、门控时钟的CTS的分析设计示例(详细)

ICC中进行布线的流程

DFM(可制造性设计)-1

1. 天线效应

2. 接触孔/通孔可靠性问题

3. Random Particle Defect(随机微粒缺陷)

4. Metal Erosion(金属侵蚀)

5. Metal liftoff(金属翘起)

6. 添加去耦电容DeCAP(Core Filler With Metal)

7.添加Core Filler Without Metal

8. Metal over-etching(金属过刻蚀)

版图验证1-检查电源地连接

版图验证2-DRC检查

版图验证3-LVS检查

Floorplan阶段常见问题及解决方案:

Floorplan常见问题-Macro或者Core的Strap与PG Ring连接处缺少Via

Floorplan常见问题-Macro的PG Pin没有连接到PG Ring/Strap上

后端常见问题及解决方式:

如何解决后端设计中的拥塞Congestion

天线效应及常见天线效应问题的解决方式:

1. 天线效应

天线效应问题一:Warning: Skipping antenna analysis for net xxx

天线效应问题二:ICC在布线阶段无法插入二极管,找不到二极管单元

天线效应问题三:ICC在布线之前考虑天线效应的设置

天线效应问题四:在ICC中出现很多天线效应的违反,该如何修复?

天线效应问题五、ICC中没有天线效应违反,但在Calibre中检查出天线效应违反

9.静态时序分析:PT

静态时序分析/验证

静态时序分析的原理

基于Primetime的静态时序分析流程

PTSI信号完整性分析教程

PT OCV静态时序分析教程

时序分析中的OCV & AOCV & POCV

10.功耗分析:PTPX、RedHawk

PrimeTime PX进行功耗分析-1

PrimeTime PX进行功耗分析-2

11.DRC LVS ERC:Calibre

12.Memory Compiler:Verisilicon公司的MC、Synopsys公司的Embedit Integrator、ARM公司的Artisan(待更新)

13.FPGA相关EDA工具:ISE、Vivado、Synplify等

Xilinx ISE12.0 烧录步骤

ISE ChipScope使用教程

Xilinx ISE如何调用Modelsim进行联合仿真

如何用ModelSim对Xilinx ISE产生的网表进行仿真

14.模拟IC设计工具:Virtuoso

版图工具Virtuoso的使用技巧

Cadence公司工具脚本--SKILL语言学习历程

Skill 脚本程序合集

15.PCB设计工具:Altium Designer

Altium Designer设计PCB--如何增大电源地的线宽

Altium Designer设计PCB--如何设置铺铜与导线或过孔的间距

往期回顾

1.数字后端面试100问(2019全新版)

2.每日学习:数字后端基本概念合集(一)

3.每日学习:数字后端基本概念合集(二)

4.每日学习:机器学习在IC设计中的应用(一)--利用率可达99%的神技--Placement及Relative Placement

5.每日学习:机器学习在IC设计中的应用(二)--根据GBA时序结果来预测PBA

6.每日学习:静态时序分析STA合集一

7.每日学习:静态时序分析STA合集二

大同学吧,是全国100+重点高校IT电子类等理工科大学生都在关注的校招、内推、实习的求职服务平台,提供海量互联网及半导体行业实习、校招等招聘信息,免费分享面经笔经、求职内推、行业干货,助力学子顺利拿下理想offer!!我们欢迎任何形式的合作,发布招聘信息,请添加微信号:lgsdt188。

我好喜欢你,给我点个在看吧

ic读卡器设置工具_每日学习:数字IC设计EDA软件教程整理相关推荐

  1. ic读卡器设置工具_从Matlab被禁来看,给IC教育带来哪些启发?

    在上周五看到朋友圈转发包云岗老师就Matlab被禁后在某论坛的发言,在阅读的当时就很有感触,本想当晚就写点东西,不曾想拖到了现在. 包老师的梳理的几个点,是结合教学和应用来谈的.在IC教育培训方面,我 ...

  2. ic读卡器设置工具_什么读卡器,是一用过就想揣身上不想丢的?

    大多数手机/电脑多功能读卡器,要么只支持安卓手机,要么只支持苹果手机,全兼容的体积就会很大.魅族推荐的这款读卡器应该会让你眼前一亮!质量好,价格也不贵!用过就不想丢. 外观展示 ▼ 它很小巧,做到了完 ...

  3. java时序图工具_每日学习:静态时序分析入门面面观

    关注并标星大同学吧 每天1次,打卡学习 积累1个新知识,增1分职场底气 作者称谓:Jack xu 个人介绍:不断学习的数字后端工程师 微信公众号:志芯 半导体知识分享第41期 技能升级,从这里开始 本 ...

  4. ic读卡器设置工具_IC设计工程师的职业前景真的有别人说的那么好吗?

    随着中国的IC设计产业呈现出了一片大好,越来越多的工程师开始加入到这个新兴产业.而IC设计工程师就是一个从事IC开发.集成电路开发设计的职业.虽然现在集成电路行业人才紧缺,但想要成为IC设计工程师依然 ...

  5. 艾为数字ic面试题_每日学习:数字后端面试100问(2019全新版)

    关注并标星大同学吧 每天1次,打卡学习 积累1个新知识,增1分职场底气 作者称谓:Tao涛 个人介绍:摸爬滚打多年的数字后端工程师 微信公众号:数字后端IC芯片设计 半导体知识分享第29期 技能升级, ...

  6. bin文件如何编辑_每日学习:Linux文件与目录管理常用命令解析

    关注并标星大同学吧 每天1次,打卡学习 积累1个新知识,增1分职场底气 作者称谓:Jack xu 个人介绍:不断学习的数字后端工程师 微信公众号:志芯 半导体知识分享第25期 技能升级,从这里开始 1 ...

  7. Excel股票分析工具_每日连板清单

    Excel获取每日连板数据 对所有股票数据进行监控,选出涨停板股票清单: 可以查看昨日数据今日的涨跌情况    后端采用VBA通过API获取数据并创建前台分析链接 可以查看昨日数据在今日的涨跌情况, ...

  8. 艾为数字ic面试题_国外的数字IC面试题(非常详细,有答案)

    原标题:国外的数字IC面试题(非常详细,有答案) 这是由EETOP网友lswujun上传的国外数字IC面试题. 内容相对比较基础,有答案. 内容包括: Questions and Answers ar ...

  9. 数字IC验证:电路基础知识(数字IC、SOC等)

    文章目录 0 SOC结构 1 数字IC设计的流程 1.1 逻辑综合的流程 2 电路基础 2.1 三极管BJT 2.2 MOSFET 2.3 CMOS 2.4 锁存器与触发器:RS/D/JK/T 2.5 ...

  10. 【数字IC验证快速入门】3、数字IC设计全流程介绍

    导读:作者有幸在中国电子信息领域的排头兵院校"电子科技大学"攻读研究生期间,接触到前沿的数字IC验证知识,旁听到诸如华为海思.清华紫光.联发科技等业界顶尖集成电路相关企业面授课程, ...

最新文章

  1. php遍历数组哪个效率高,PHP遍历数组的三种方法及效率对比分析
  2. OpenGL ES 的例子
  3. Hyper-V虚拟机快照占用磁盘空间过多,导致虚拟机不能启动怎么办
  4. 加括号改变连除式结果(洛谷P2651题题解,Java语言描述)
  5. 百度谷歌2013年母亲节 赏析中文搜索引擎庆祝涂鸦
  6. Codevs 均分纸牌(贪心)
  7. Tool-X:在AndroidUbuntu平台安装Kali的各种小工具
  8. arcgis图像和坐标系统一致但不重合_分享∣Arcgis中62个常用技巧系列二(21-40技巧)...
  9. VMware vmdk文件打开方法
  10. 人与自然超越彩虹-上
  11. 家庭花卉养殖技巧及技术收集
  12. 教育培训机构经营技巧
  13. OC引导安装Mac Big Sur教程
  14. C Primer Plus (第六版) 第十四章_编程练习答案
  15. 图片太大怎么办?这3种方法快速压缩图片!
  16. k8s中控制器使用详解
  17. The Sandbox阐释对元宇宙平台的愿景
  18. ps -aux | grep xxx, kill -s 9, pgrep --Linux下进程
  19. OpenGL-绘制点、线、面
  20. 前端常用网站及论坛集锦

热门文章

  1. 3D数学——Unity中的向量运算
  2. PCL 显示一只小白兔和Eigen矩阵
  3. 外参矩阵转四元数,左右手坐标系转化1
  4. Atitit 网络编程之道
  5. 吞吐量、响应时间和 CPU 利用率之间的关系
  6. (转)人工智能的钟摆
  7. 那些年,我们踩过的 Java 坑 | 凌云时刻
  8. Linux基金会宣布行业进一步支持Akraino Edge Stack
  9. php 根目录怎么写,php – 如何重写根目录中的目录
  10. 【优化预测】基于matlab差分进化算法优化BP神经网络预测【含Matlab源码 1315期】