小编典典

从请参阅Java静态变量方法:

它是一个属于类而不属于对象(实例)的变量

静态变量在执行开始时仅初始化一次。在初始化任何实例变量之前,将首先初始化这些变量

该类的所有实例共享一个副本

静态变量可以通过类名直接访问,不需要任何对象。

如果你没有故意将实例和类(静态)变量初始化为标准默认值,则将它们自动初始化为标准默认值。尽管不会自动初始化局部变量,但是在使用局部变量之前,你无法编译无法初始化局部变量或为该局部变量赋值的程序。

编译器实际上所做的是内部生成一个单一的类初始化例程,该例程将所有静态变量初始化器和所有静态初始化器代码块按它们在类声明中出现的顺序组合在一起。第一次加载类时,仅一次自动运行一次初始化过程。

对于内部类,它们不能具有静态字段

一个内部类是没有明确或隐含声明的嵌套类static。

内部类不能声明静态初始化器(第8.7节)或成员接口。

内部类不能声明静态成员,除非它们是常量变量。

请参见JLS 8.1.3内部类和封闭实例

finalJava中的字段可以与声明位置分开进行初始化,但这不适用于static final字段。请参见下面的示例。

final class Demo

{

private final int x;

private static final int z; //must be initialized here.

static

{

z = 10; //It can be initialized here.

}

public Demo(int x)

{

this.x=x; //This is possible.

//z=15; compiler-error - can not assign a value to a final variable z

}

}

这是因为与该类型关联的变量只有一个副本static,而不是与实例变量一样与该类型的每个实例关联的副本,并且如果我们尝试在构造函数中初始化z类型static final,它将尝试重新初始化static final类型字段z因为构造函数是在类的每个实例化上运行的,该实例化不能在静态final字段中发生。

2020-03-09

java static变量何时初始化_Java 静态变量何时初始化?相关推荐

  1. java 变量单例_Java静态变量的用法:伪单例

    这几天遇到一个问题,一个Service里有一个map,但是这个Service有别的继承,于是每一个Service都会创建一个map,但是这个map应该是公用的,于是就有问题了...(按结构说Servi ...

  2. java中类变量局部量_java入门---变量类型类变量局部变量实例变量静态变量

    在Java语言中,所有的变量在使用前必须声明.声明变量的基本格式如下: typeidentifier[= value][, identifier[= value]...]; 格式说明:type为Jav ...

  3. java 静态变量声明_java静态变量怎么声明?

    展开全部 个人的总结 1 静态变量e69da5e6ba9062616964757a686964616f31333337616564只有一份被类的所有实例共享 2 静态变量的声明在编译时已经明确了内存的 ...

  4. java静态局部变量_java中成员变量,局部变量,静态变量的辨析

    转自百度:https://baijiahao.baidu.com/s?id=1625360816541592483&wfr=spider&for=pc 1.java中成员变量,局部变量 ...

  5. java类静态初始化_Java静态代码块和类初始化、实例初始化过程

    1. 静态代码块 静态代码块:定义在类中方法外,使用static修饰 ①可以为类变量(静态变量)初始化 ②静态代码块在第一次使用这个类之前执行,即在类初始化时执行,且只执行一次 ③若有多个静态代码块, ...

  6. 类变量、成员变量、实例变量、局部变量、静态变量、全局变量 的解释。

    类体由2部分构成: 一部分是变量的定义; 一部分是方法的定义(一个类中可以有多个方法) 在变量定义部分定义的变量叫做类的成员变量,成员变量在整个类中都有效. (全局变量应该是成员变量的俗称) 在方法体 ...

  7. python中静态变量_Python中的静态变量和方法

    python中静态变量 Defining static variable and method is a common programming concept and is widely used i ...

  8. VBA学习(一)启用VBA、变量、常量、静态变量、字符串拼接、循环语句与判断语句

    目录索引 在Excel中启用VBA 变量的强制声明 静态变量 静态变量值消失的情况 常量 循环语句 FOR循环 Do While循环 Do Until循环 Do--Loop While循环 IF判断语 ...

  9. java成员变量的初始化_Java成员变量初始化过程

    import java.util.*; public class Main { public static void main(String[] args){ Student s = new Stud ...

最新文章

  1. 2022-2028年中国特高压电网行业深度调研及投资前景预测报告
  2. 二叉树非递归遍历的经典求解
  3. markdown设置字体颜色大小、目录、列举和横线
  4. js 包含某个字符串_[译] 5 大 JavaScript 字符串操作库
  5. 我的 Vue.js 学习日记 (七) - 事件与修饰符
  6. Center os vi
  7. selenium的简单介绍
  8. C语言编程规范--常用缩写词
  9. java 读取图片给 matlab_如何将MATLAB图像处理程序转换为java?
  10. java 获取oracle表结构_获取Oracle中所有表的列表?
  11. 年轻人必须在北上广工作吗
  12. 【语音去噪】基于matlab先验信噪比的维纳滤波算法语音去噪【含Matlab源码 572期】
  13. AllWinner board 笔记
  14. python里面的pip是什么意思_python的pip有什么用
  15. 获得代理ippython_Python自动获取代理IP
  16. 归因分析笔记13 特征重要度正确性的验证
  17. 欧文工学院计算机,UCI的EECS「加州大学欧文分校电气工程与计算机科学系」
  18. SQLServer阻止保存要求重新创建表的更改,sql在一列数据前统一加字符
  19. 4个图片无损压缩工具,快速批量压缩图片,图片高清不糊
  20. python智慧树判断题_智慧树知到_大数据分析的python基础_判断题答案

热门文章

  1. 【swagger关闭】生产环境关闭swagger方法
  2. 艺术对于学计算机来说有用吗,人工智能都能画画了,学艺术还有什么用?
  3. Nginx部署VUE前端页面(图文解说详细版)
  4. RFID读写器的功能
  5. 我的世界基岩版和java版ps4,基岩版《我的世界》今日实现PS4跨平台玩法
  6. NFC SWP移动支付解决方案技术分析
  7. 首届百度商业AI技术创新大赛启动 点燃AIGC革新“星火”
  8. WPF基础-DataGrid
  9. 常见的几种web攻击方式及原理
  10. 租房子时,请严重注意中介和代理的区别,本人的真实经历