目录

  • 1. ISE、Vivado编辑器设置
    • 1.1 ISE 设置
    • 1.2 Vivado 设置
  • 2. 语法高亮、语法检查和自动例化
    • 2.1 配置ctags
    • 2.2 配置语法检查
    • 2.3 自动例化
  • 3. Testbench自动生成
    • 3.1 Verilog_Testbench 插件
    • 3.2 使用
  • 4. 辅助对齐
    • 4.1 Align-Vertically 插件
    • 4.2 配置与使用
  • 5. 代码块
  • 6. 其他插件推荐
  • 7. 相关资料

 以前使用的编辑器是Sublime Text 3,其插件功能挺强大的,具有自动对齐、代码块、查找下一个等功能。但是在使用过程中有一些小Bug,比如查找上一个选择功能需要先用查找下一个选择才可以选中字符串,然后才可以正常往上查找。另一个原因VS Code具有更多功能强大的插件,所以转 VS code编辑器了,将一些实用的插件记录一下。

Sublime Text 3 Visual Studio Code

 [安装][Visual Studio Code官网](https://code.visualstudio.com/Download)或者文末相关资料获取所有相关的安装包。不要中文路径一路next就行了。

 [中文]安装Chinese (Simplified) Language Pack for Visual Studio Code插件即可。插件的用法参照插件详情的Usage章节:

  1. Ctrl+Shift+P 打开命令面板
  2. 输入 display 以选择 Configure Display Language 命令
  3. 选择Zh-cn,重启软件即可。

1. ISE、Vivado编辑器设置

1.1 ISE 设置

 Edit > Prefrences > ISE General > Editors:Editors:改为Custom,Command line syntax:改为{x:\xxx\Microsoft VS Code\Code.exe} $1 -l$2

1.2 Vivado 设置

 Tools > Settings > Text Editor:Current Editor改为Custom,command改为x:/xxx/Microsoft VS Code/Code.exe [file name] -l[line number]

2. 语法高亮、语法检查和自动例化

 插件名称:Verilog-HDL/SystemVerilog/Bluespec SystemVerilog

功能:

  • 语法高亮

    • Verilog-HDL
    • SystemVerilog
    • Bluespec SystemVerilog
    • Vivado UCF constraints
    • Synopsys Design Constraints
  • 代码片段
  • 语法检查
    • 基于-iverilog
    • 基于Vivado - xvlog
    • 基于Modelsim - modelsim
    • 基于Verilator - verilator
  • 集成ctags(Generate tag files for source code)工具
    • 自动补全
    • 文档符号大纲
    • 悬浮显示变量声明
    • 转到定义
    • 模块实例化

2.1 配置ctags

 (1)首先点击上图插件详情页中的ctags下载链接下载插件并安装(安装路径不要中文和空格)。
 (2)添加ctags.exe所在路径为环境变量或者在插件设置中 verilog > Ctags:path 中加上ctags.exe所在路径如 C:\xxx\ctags.exe。

2.2 配置语法检查

  1. 基于vivado -xvlog
     找到vivado自带的语法检查器xvlog的位置,一般是x:\xxx\Vivado\2017.1\bin\,将此路径添加为环境变量。在cmd中输入xvlog --version查看是否设置成功。

      然后再插件设置中将 Verilog > Linting:Linter 参数设置成xvlog。

     当 ctrl+s 保存文件时,会进行语法检查并报错。
  2. 基于iverilog --iverilog
     (1)安装iverilog软件。
     (2)设置x:\xxx\iverilog\bin; x:\xxx\iverilog\gtkwave\bin 为环境变量。
     (3)在cmd中执行iverilog -v验证环境。
     (4)在插件设置中将 Verilog > Linting:Linter 参数设置成xvlog;将Verilog > Linting     >iverilog:Arguments 参数设置成 -i。使用方法同上。

2.3 自动例化

快捷键设置:
 (1)使用ctrl+shift+p调出命令面板。
 (2)输入verilog:inst以打开Verilog:Instantiate Module命令右边的设置图片。
 (3)双击命令,输入喜欢的快捷键。
使用:
 注意被例化的模块需要与本模块在同一个文件夹中。
 (1)通过命令面板或者快捷键执行Verilog:Instantiate Module命令。
 (2)选择需要例化的模块并回车。


3. Testbench自动生成

3.1 Verilog_Testbench 插件


 在插件的详情页中可知本插件包括两个命令,Testbench(在活动编辑器中为verilog模块生成Testbench)和Instance(在活动编辑器中为verilog模块生成实例)。
 特别注意,插件需要python3环境,建议直接安装anaconda软件。

3.2 使用

 建议按相同的方法配置快捷键。我设置为ctrl+t,b

 如果生成了乱码字符,最好参考博客安装chardet通用编码检测器。
 (1)在安装路径解压chardet-4.0.0.tar.gz
 (2)在文件setup.py所在路径按住shift右击空白处在此处打开powershell窗口
 (3)输入python setup.py install回车完成安装。

4. 辅助对齐

4.1 Align-Vertically 插件

 个人比较习惯的代码对齐方式像下面这种:

 以前使用的Sublime Text 3可以很好的实现自动对齐,在VS code中试了十几种对齐的插件,其中插件Alignment功能和使用说明都和Sublime差不多,但是实际使用有很多问题。最终我选择了Align-Vertically插件。这个插件在对齐时需要输入需要对齐的字符,所以算是辅助对齐。

4.2 配置与使用

快捷键设置:
 (1)使用ctrl+shift+p调出命令面板。
 (2)输入align以打开Align Vertically命令右边的设置图片。
 (3)双击命令,输入喜欢的快捷键。


使用:
 (1)选择需要对齐的代码块然后使用快捷键。
 (2)输入需要对齐的字符并回车。

5. 代码块

 插件Verilog-HDL/SystemVerilog/Bluespec SystemVerilog也有一些自带的代码片段,但这些用起来总觉得很繁琐,不如自己设置来的舒服。
 (1)在 VS Code 的 文件 > 首选项 > 用户片段 中选择新建代码片段,命名并保存。

 (2)按自己的编程习惯编辑代码片段,与Sublime Text 3类似。

  // Place your 全局 snippets here. Each snippet is defined under a snippet name and has a scope, prefix, body and // description. Add comma separated ids of the languages where the snippet is applicable in the scope field. If scope // is left empty or omitted, the snippet gets applied to all languages. The prefix is what is // used to trigger the snippet and the body will be expanded and inserted. Possible variables are: // $1, $2 for tab stops, $0 for the final cursor position, and ${1:label}, ${2:another} for placeholders. // Placeholders with the same ids are connected.// Example:// "Print to console": {                  //Print to console为键入时的字符提示//    "scope": "javascript,typescript",     //scope为使能文件类型范围//    "prefix": "log",                      //prefix为触发代码块的字符串//  "body": [                             //body为代码主体//       "console.log('$1');",             //$为键入其他代码的顺序//       "$2"//    ],//    "description": "Log output to console"// }//比如设置begin...end的代码块"begin...end": {"scope": "verilog,systemverilog","prefix": "beg","body": ["begin""    $0""end"],"description": "verilogheader"}
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
  • 8
  • 9
  • 10
  • 11
  • 12
  • 13
  • 14
  • 15
  • 16
  • 17
  • 18
  • 19
  • 20
  • 21
  • 22
  • 23
  • 24
  • 25
  • 26
  • 27

使用效果:

6. 其他插件推荐

(1)Rainbow Brackets

 为圆括号、方括号和弯弯曲曲的括号提供彩虹颜色。


(2)Waveform Render
 在VSCode中使用WaveDrom渲染时序图。

 键入左边的代码,自动渲染右边的时序图,写论文很实用。

7. 相关资料


链接:https://pan.baidu.com/s/1gH3cuJOtPTvrhaQFIhunjg
提取码:open


http://www.taodudu.cc/news/show-4178271.html

相关文章:

  • 用了五年 VS Code ,我决定换成 JetBrains…
  • VS Code实现python代码语法检查、格式规范化、自动换行字数限制
  • VS Code PHP代码提示和格式化插件 IntelliSense安装使用
  • Shiro session过期跳转到登录页面问题
  • Docker部署rabbitmq遇到的两个问题
  • 整理2008-2017年的所有上市公司海外收入数据
  • SpringBoot + Spring Cloud +Vue 管理系统前端搭建(二、visual studio code开发前端项目
  • 怎么在图片中添加表格?
  • Ps和Excel结合,快速制作透明表格
  • 在线PS工具网站
  • PL-PS 接口说明
  • html 隐藏表格某一行,layui怎么隐藏表格行?
  • Markdown更改字体、颜色、大小,设置文字背景色,调整图片大小设置居中,插入表格等方法
  • html表格新插入一列,VUE table表格动态添加一列数据,新增的这些数据不可以编辑(v-...
  • WinEdt教程 表格,图像,公式,段落
  • C# dataGridView中插入excel表格
  • overleaf表格_latex编写表格.doc
  • word/excel 里的表格如何到PS里使用
  • VUE仿知乎网站(三)首页主体部分开发
  • 知乎网站2010年12月开放,三个月后获得了李开复的天使投资
  • 网络版的知乎点赞问题~
  • 知乎-知乎网站-复制文字-破解知乎复制
  • 知乎网站胡说八道,误人子弟!
  • java开发社交网站_仿知乎问答社交平台网站
  • 知乎网站架构
  • Invalid bound statement (not found): com.sxt.sys.mapper.MenuMapper.insert
  • 错误: 找不到或无法加载主类 com.sxt.ceshi.Demo166
  • 基于Java的飞机大战的课程设计与实现
  • idea中整合redis中出现 Error creating bean with name ‘com.sxt.redis.RedisApplicationTests‘:
  • java.io.FileNotFoundException: File does not exist: hdfs ://sxt/home/sqoop-1.4.6/lib/commons-codec-1

Vs code 进行硬件设计实用插件-语法高亮、语法检查、自动例化、Testbench生成、对齐、代码块等相关推荐

  1. p3c 插件,是怎么检查出你那屎山的代码?

    作者:小傅哥 博客:https://bugstack.cn 原文:https://mp.weixin.qq.com/s/RwzprbY2AhdgslY8tbVL-A 一.前言 你会对你用到都技术,好奇 ...

  2. Simulink自动代码生成3——优化生成的代码(optimizing generated code)

    代码优化综述 使用simulink代码生成之后,如果需要进一步对执行效率或者内存优化,可以看下面提到的方法.具体可从以下几个方面考虑: remove initialization code remov ...

  3. idea的jsp如何显示语法高亮_如何啃下Python学习中的三块硬骨头?

    Python 根式字 [51CTO.com快译]众所周知,作为一门开源的高级编程语言,Python的用途十分广泛.它可以被用于不同的应用场景中,包括:开发基于桌面和Web的应用程序,分析访问数据,开发 ...

  4. typescript语法高亮插件_vscode常用插件

    插件介绍 HTML Snippets > 初级H5代码片段及提示 HTML CSS Support > 初级H5代码片段及提示 Debugger for Chrome > 让 vsc ...

  5. [转]MVC实用架构设计(三)——EF-Code First(3):使用T4模板生成相似代码

    本文转自:http://www.cnblogs.com/guomingfeng/p/mvc-ef-t4.html 〇.目录 一.前言 二.工具准备 三.T4代码生成预热 (一) 单文件生成:Hello ...

  6. vscode中如何让vue文件语法高亮_VS code 你们都在用吗?或许你们需要看一下(语言相关)篇...

    在前端开发中,有一个非常好用的工具,Visual Studio Code,简称VS code. 都不用我安利VS code,大家就会乖乖的去用,无数个大言不惭的攻城狮,都被VS code比德芙还丝滑的 ...

  7. chrome五十大实用插件集合!

    来源:http://blog.csdn.net/dadoneo/article/details/6296358 时下很多人都用上了Google Chrome浏览器,这款目前世界上最快的浏览器既简洁又高 ...

  8. chrome五十大实用插件集合

    2019独角兽企业重金招聘Python工程师标准>>> 时下很多人都用上了Google Chrome浏览器,这款目前世界上最快的浏览器既简洁又高效,用来上网冲浪还真是不错.不过好马也 ...

  9. VS Code 自定义语法高亮 —— 入门

    VSCode扩展开发 必要工具 脚手架工具 Yeoman 和 VSCode Extension Generator 安装 npm install -g yo generator-code 使用 yo ...

最新文章

  1. 华中农业大学Kenichi Tsuda组招收多名博士后
  2. scala typetag java,scala – 从类型中获取TypeTag?
  3. 服务器开好服怎么和网站连,vps开服连服务器没反应
  4. Android开发之线程池管理ThreadPoolExecutor和Executors.newSingleThreadExecutor()
  5. python3获取两个日期之间所有日期,以及比较大小
  6. 【三】MongoDB文档的CURD操作
  7. windows下执行testng用例
  8. 10.1综合强化刷题 Day1 morning
  9. 免费分享20套PHP源码
  10. 记账系统推荐金蝶精斗云_金蝶精斗云好用的免费的财务做账软件有哪些?
  11. 【matlab】iir滤波器
  12. linux中无损gpt转mbr,如何快速实现免重装无损磁盘MBR转GPT?
  13. JavaScript的通用库与动画特效
  14. 如何解决“Cannot be opened because the developer cannot be verified”
  15. iCop-Ratel执行run_local.sh文件报错
  16. “磁”话有理(七)——磁集成类型之电感与电感集成
  17. 私募基金电子合同快来了!《私募投资基金电子合同业务管理办法(试行)(征求意见稿)》发布
  18. 【FinE】在险价值(VaR)计算
  19. android 神气插件 自动补全tabnine
  20. python的append是什么意思_append在python里是什么意思

热门文章

  1. 客户端,从服务器上下载文件流程
  2. Word 里文字对齐推荐这4种方法
  3. 《微观经济学》摘要笔记
  4. 苹果vs剪辑下载_好用的短视频制作与剪辑APP工具盘点
  5. 300份奖品待领取 | 你的烦恼值钱啦!华为云 DevCloud 年度开发者的烦恼有奖征集火爆开启!...
  6. 写作活动第三期!让我们再挣200元稿费吧!
  7. curl 访问 IPv6 url
  8. python 把数字日期转换成中文日期
  9. Java培训学习之分词工具之HanLP介绍
  10. Oracle中以insert values的形式同时插入多条记录