ZYNQ(1)

  • 名词
  • 丐版流程
    • 基本文件生成
      • 1.开发板型号选择
      • 2.加源文件
      • 3.加管脚约束
      • 4.加时序约束
      • 5.编译
    • 仿真验证
      • 1.仿真设置
      • 2.加激励文件
      • 3.仿真跑起来
    • 下载
      • 简单看一看
      • 程序固化
    • 在线调试---ILA IP
      • 1.ILA IP在线调试
      • 2.MARK DEBUG
  • 参考文献

名词

  1. 处理器系统部分Processor System(PS)
  2. 可编程逻辑部分Programmable Logic(PL)
  3. 软件开发套件 Software Development Kit ( SDK )
  4. IDE接口 Integrated Drive Electronics(IDE)
    ATA接口(Advanced Technology Attachment)
    这两个一样吧

丐版流程

基本文件生成

1.开发板型号选择

xc7z010clg400-1
50MHz

2.加源文件

Define Module。 加的 .v 文件

3.加管脚约束

加的 .xdc 文件
管脚分配:RTL Analysis -> Open Elaborated Design. Window -> I/O Ports.

//管脚约束
set_property PACKAGE_PIN " 引脚编号"  [get_ports “端口名称”]
set_property PACKAGE_PIN K17  [get_ports {led[0]} ]
//电平信号约束
set_property IOSTANDARD " 电平标准"  [get_ports “端口名称”]
set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}]

4.加时序约束

SYNTHESIS -> Run Synthesis 跑完以后别继续
SYNTHESIS -> Open Synthesized Design ->Constraints Wizard. 改sys_clk 50MHz然后就可以OK,Finish
改完以后 .xdc 文件更新了。Reload重新加载并保存。

5.编译

编译的过程可以细分为综合布局布线生成bit文件等。
Run Implementation布局布线。
可以直接点Generate Bitstream。
bit文件生成完成后,可以打开Project Summary页面的Table来查看板子上实际资源的使用情况。
LUT(查找表);F(Flip Flop寄存器); IO(管脚);BUFG(时钟Buffer)。

仿真验证

1.仿真设置

SIMULATION -> Simulation Settings

2.加激励文件

可以先不添加IO Ports
定义输入和输出信号。实例化模块。添加复位和时钟的激励。

在定义寄存器时:
寄存器在always块里使用:必须定义为reg类型
仅是用于连线或是直接赋值:需定义为wire类型

输入信号的类型不能定义为reg型.

3.仿真跑起来

SIMULATION -> Run Simulation -> Run Behavioral Simulation
要是想看的是中间的过渡信号,在Scope里面点一下,然后就可以出来一堆。
加到右边:Add Wave Window。
Restart按钮复位一下,再点击Run All按钮。

下载

简单看一看

PROGRAM AND DEBUG -> Bitstream Settings
设置完成后单击Generate Bitstream生成bit和bin文件。

PROGRAM AND DEBUG -> Open target -> Auto Connect
连上以后Program Device,再点一点。Bit文件就下进去了,但是掉电不保存

程序固化

ZYNQ程序固化与FPGA不同。得考虑PS部分。

在线调试—ILA IP

1.ILA IP在线调试

一. 添加

IP Catalog ->ila

二. 信息修改

  • Component Name
  • General Options
    - Number of Probes 观察的信号数量 。
    - Sample Data Depth采样深度—总共能存储数据的总量。
    (Number of window) X (Window Data depth) = 总的存储数量
    存储总量是1024,当(Window Data depth) =1024时,只能显示一个窗口的数据.
  • Probe_Ports
    - Probe_Width 设置位宽。看一下采的数据是多少位的
    三. 例化模块重新下程序

可以在 .veo 文件里找一下模板
源文件程序里面加进去,重新生成Bitstream文件。
重新下一下。bit 和 ltx 文件,点击 program。

四. 在线调试

  1. 点击运行看一看。
  2. 也可以触发采集,在Trigger Setup窗口点击 + 。
    将Radix 改为 U ,也就是十进制,设置value值。再点运行。

2.MARK DEBUG

下面介绍在代码中添加综合属性,实现在线调试。
1. 将 ila 的例化部分注释掉。
2. 需要看的信号在定义前加 (* MARK_DEBUG=“true” *)
3. Run Synthesis
4. Setup Debug 。小小的设置一下Sample of data depth 然后可以完事了。
5. 保存以后在 .xdc 文件可以看见 ila 核约束
6. 重新生成Bitstream文件,下程序。
7. 其余同上。

参考文献

  1. CSDN Blog:Vivado下的集成逻辑分析仪ILA 入门
  2. cource_s1_ALINX_ZYNQ(AX7Z010_AX7Z020)开发平台基础教程V1.04

ZYNQ7010教程(一)PL部分相关推荐

  1. PL/SQL教程:PL/SQL Developer使用技巧

    1.PL/SQL Developer记住登陆密码 在使用PL/SQL Developer时,为了工作方便希望PL/SQL Developer记住登录Oracle的用户名和密码: 设置方法:tools- ...

  2. Oracle 11g 安装教程及pl/sql下载安装

    一般来说,下载后都会有两个压缩包,将这两个压缩包解压到同一个文件夹下,本例中解压目录为"database"    进入目录后看到setup.EXE,双击运行  稍等片刻后会出现如下 ...

  3. PL/SQL Developer使用教程(中文)

    PL/SQL Developer使用教程(中文) PL/SQL Developer使用教程(中文) PL/SQL Developer使用教程(中文) http://download.csdn.net/ ...

  4. oracle 作业调度里pl/sql怎么写,PL/SQL实现Oracle数据库任务调度

    PL/SQL实现Oracle数据库任务调度 PL/SQL实现Oracle数据库任务调度 正在看的ORACLE教程是:PL/SQL实现Oracle数据库任务调度.摘要:本文主要就数据库恢复与系统任务的调 ...

  5. Oracle相关知识点关键词

    oracle导出建表语句 oracle删除表语句 oracle 锁表语句 oracle语句创建表 oracle 表分析语句 oracle 备份表语句 oracle查看表的语句 oracle表空间语句 ...

  6. Oracle SQL手册

    文章目录 Oracle 登录 Oracle 事务 提交事务 回滚事务 设置事务 锁表 数据类型 数据库操作 管理表结构 创建表 修改表结构 删除表 操作表数据 插入数据 复制表数据 批量插入数据 修改 ...

  7. 【学亮IT手记】oracle远程连接工具PL/SQL Developer的安装使用教程

    [学亮IT手记]oracle远程连接工具PL/SQL Developer的安装使用教程 客户端远程连接oracle,可以使用oracle自带的连接工具sqlplus,instanceclient_12 ...

  8. XP下架设奇迹教程+全套服务套+登陆器+架设PL网站教程

    XP下架设奇迹教程+全套服务套+登陆器+架设PL网站教程 今天来说说我们怎么在XP下架奇迹mu!因为XP有个问题就是装不了SQL企业版!只能用个人版!所以我就教教大家怎么在XP下装企业版!SQL200 ...

  9. oracle改字体大小_集成开发环境PL/SQL Developer教程:设置行号和修改字体大小

    PL/SQL Developer是一个集成开发环境,它专门针对Oracle数据库的存储程序单元的开发所用.PL/SQL开发者在开发Oracle应用程序的时候注重于开发工具简单易用,代码简洁和开发效率高 ...

最新文章

  1. Silicon Labs收购业界RTOS厂商
  2. java model 中文乱码_Java解压zip 解决编码和中文乱码问题
  3. 中公教育计算机网络,计算机考试题库:计算机网络模拟练习题(2)
  4. Android Studio 插件开发详解三:翻译插件实战
  5. SpringCloud的微服务网关:zuul(理论)
  6. QPW 用户签到日志表(tf_user_signin_log)
  7. [紧急问题和解决方案] 无法打开被权限管理服务(RMS)加密的Office 2003文档
  8. 宇轩网络面试题目PHP,二十道接地气的php面试题,让你直接通过面试!就此奉上~...
  9. 二叉树C++ | 链表递归实现二叉树(插入、搜索)_1
  10. JavaScript基础知识(一)
  11. 一步一步使用阿里云容器服务部署基于.NET的JEXUS网站 (转)
  12. python 爬糗事百科
  13. Oracle Database Documentation
  14. golang java 对比_golang编程语言和java的性能对比
  15. DataFormatString属性语法介绍及解决DataFormatString无效的问题
  16. 易语言组合框基本属性方法事件
  17. idea使用svn拉取项目代码_IDEA使用svn下载项目,并配置svn项目和tomcat进行访问
  18. photoshop旋转图片
  19. 春夏秋冬又一春之Redis持久化
  20. linux中用c语言编写一个经纬度转换大地坐标

热门文章

  1. 基于Skeleton的手势识别:SAM-SLR-v2
  2. 一例较成功的国产PHP问卷调查系统
  3. iTextSharp 纸张横向
  4. 宋家瑜:做中国的威睿而不是VMware中国
  5. 自学考c语言计算机二级会,自学明年考计算机二级C语言
  6. 快手直播间实时弹幕发言API
  7. ADB-adb命令安装app
  8. Spark常见错误剖析与应对策略
  9. Facebook背后的人工智能
  10. 计算机社团的ppt,社团管理系统.ppt