一、安装
1、下载软件
链接:https://pan.baidu.com/s/1S05AnO_w-wy6RsAj_kdUXg
提取码:p2nt
2、根据电脑类型是32位还是64位,解压不同安装包。

解压得:

2、运行.exe文件,出现:

问题及解决办法: 这是杀毒软件造成的,因此在安装过程中关闭杀毒软件即可。关闭之后,再次运行.exe文件。

3、点击下一步,进入选择安装位置界面,如下:选择好安装位置后点击下一步。

4、点击同意

5、接下来等待安装结束即可,在安装过程中,会跳出来弹框,询问是否要添加桌面快捷方式与环境变量,都选择“是”(也可选择否)。

6、安装结束之后选择否,然后安装完成,继续下一步

7、解压Crack,将MentorKG.exe和patch64_dll.bat复制到questasim的安装目录的win64中。


8、修改win64文件夹下的mgls64.dll的属性。

9、双击运行win64文件夹下的patch64_dll.bat文件,然后会生成并自动打开一个LICENSE.TXT文件(不要直接关闭,将其另存在一个你找得到的地方),如下:

10、另存license文件位置后,为其添加环境变量。

11、添加系统环境变量
(1)电脑开始搜索“环境变量”,进入“编辑系统环境变量”

(2)点击环境变量

(3)在系统变量处选择新建

(4)变量:LM_LICENSE_FILE
变量值:license文件的位置
输入这些,选择确定,即添加完成。

          安装结束!!!
            更新!!!!
问题:第一天questasim能够正常使用,但是第二天重新打开questasim时,出现:fatal license error :Unable to checkout a viewer license necessary for use of the QuestaSimgraphical user interface. vsim is closing. 错误

解决办法:
(1)解压以下文件
(2)打开QuestaSim10.1d windows64位xx说明.txt,按照其步骤即可。
ps:
1)在第一步中,之前我们已经将部分同名文件复制到win64下,直接覆盖即可。
2)第二步骤中,我的win64文件夹下生成下面两个.bat文件,我的运行patch64_dll.bat才有用。(原因可能是因为我的电脑是64位的)

二、questasim使用教程
1、打开软件,新建工程:file->new->project,填写工程名和工程位置,然后点击OK

2、编写/添加文件,这里为方便说明,使用写过的简单4位全加器adder4.v和对应testbenchadder4_tb.vt。(文件代码在文末

3、通过以下步骤添加文件,第二步选择copy to project directory是为了避免影响到原文件,并且方便我们查看
4、成功添加问价如下

5、编译(类似C语言编译),步骤如下:


  将两个文件都进行编译
6、运行
(1)首先点击图中1处的library,展开work,发现有与我们编译同名的两个文件。

(2)如需对文件代码进行更改,可右键该文件->edit,如图中第一步。运行的话右键该文件,选择simulate without optimization(避免被优化逻辑)。

  因为我们要进行仿真,所以我们要运行tb文件
运行结束,仿真界面如下:

7、为了检查结果,我们要观察输出波形。
(1)将输入、输出端口添加波形至观察窗口:第一步,选择到adder,然后再右侧2处窗口显示出各端口信号。

  

注意:如果你不知道该点击哪里显示出信号端口,可通过右侧窗口确定。Mode的那列为In或者Out时即为信号端口(这些端口也就是你设计中的输入输出端口)。


(2)选择要观察的信号,右键->add wave,进入wave窗口界面。

  界面左侧2处(下图)的各信号名包含路径等东西,很繁琐。通过如下图所示1处的按钮可简化。


(3)在transcript窗口run, 键入:run 1us,然后enter。即仿真1us,这个时间可以随意更改,直到得到你想要的波形。

(4)回到wave窗口,如果没看到波形,按下快捷键f,就可出现波形。

  至此,使用questasim对Verilog设计进行仿真的教程到此结束。

三、附录
1、adder4.v

odule adder4(cout,sum,ina,inb,cin);
input cin;
input[3:0] ina,inb;
output cout;
output[3:0] sum;
assign {cout,sum}=ina+inb+cin;
endmodule

2、adder4_tb.v

`timescale 1ns/1ns
`include "adder4.v"
module adder4_tb;
reg[3:0] a,b;
reg cin;
wire[3:0] sum;
wire cout;
integer i,j;
adder4 adder(sum,cout,a,b,cin);
always #5 cin=~cin;
initial begin a=0;b=0;cin=0;for(i=0;i<16;i=i+1)#10 a=i;end
initial begin for(i=0;i<16;i=i+1)#10 b=j;end
initial begin $monitor($time,,,"%d+%d+%b={%b,%d}",a,b,cin,cout,sum);#160 $finish;end
endmodule

参考:https://blog.csdn.net/qq_42334072/article/details/108811549

questasim的傻瓜式安装与仿真教程相关推荐

  1. MySql傻瓜式安装【详细教程】

    文章目录 前言 一.下载MySql 二.安装MySql 三.安装成功 四.配置环境变量 总结 前言 MySql傻瓜式安装[详细教程]

  2. Windows中制作傻瓜式安装 Yosemite黑苹果教程。看完你就懂了会了

    下载地址: 链接:  http://pan.baidu.com/s/1hqD3bI8  密码: fdvi http://pan.baidu.com/s/1bnwImN9 常见目录说明: EE----- ...

  3. 2022最新个人发卡网站源码+支持傻瓜式安装/全开源的

    正文: 2022最新个人发卡网站源码+支持傻瓜式安装/全开源的,这发卡网站源码简约大气,看着还是挺不错的. 安装教程: 1.将源码上传至服务器根目录 2.将源码进行解压 3.域名/install安装程 ...

  4. ❤黑苹果傻瓜式安装(小白秒懂2021最新版:值得收藏)

    这里写一篇给小白用户看的超级简单的黑苹果安装教程,按照目前的情况来看能保证100%安装起来.不过看之前也要有一点点的基础 原版链接 ❤黑苹果傻瓜式安装

  5. 新版UI个人发卡网站PHP源码+傻瓜式安装/全开源

    正文: 新版UI个人发卡网站PHP源码+傻瓜式安装/全开源,这发卡网站源码简约大气,看着还是挺不错的. 安装教程: 1.将源码上传至服务器根目录 2.将源码进行解压 3.域名/install安装程序 ...

  6. 云炬Qtpy5开发与实战笔记 0搭建开发环境(傻瓜式安装)

    目录 1安装python和qtpy 2安装pycharm和Qt creator 2.1安装pycharm 傻瓜式安装 在PyCharm导入Anaconda 2.2安装Qt creator 1安装pyt ...

  7. python安装环境傻瓜式安装_Python环境安装(两种方式)

    Python环境安装 前言 安装Python环境我个人知道的有两种方式: 1.直接安装Python 2.使用Anaconda进行环境的配置 个人还是比较喜欢第二种方式,毕竟在Anaconda可以创建不 ...

  8. Ubuntu14.04下使用apt-get命令傻瓜式安装ffmepg成功

    今天要在Ubuntu 14.04的系统上安装ffmepg,百度一查,很多人说要去下源码什么的过来编译,我嫌麻烦,就想直接通过apt命令来傻瓜式安装,但是直接用命令"sudo apt-get ...

  9. clickhouse的傻瓜式安装和基础入门

    clickhouse的傻瓜式安装 1)安装curl工具 yum install -y curl 2)添加clickhouse的yum镜像 curl -s https://packagecloud.io ...

最新文章

  1. Linux下*.tar.bz2等文件如何解压--转
  2. CSS Tricks网站创始人作序推荐,这本书助你成为Web开发高手
  3. 反射 reflect
  4. 深刻理解数据库外键含义
  5. MySQL 服务器变量 数据操作DML-视图
  6. 解决: cp -rf 命令中参数 -f 失效 ( 依旧要手动确认 )
  7. 使用rsync工具构建php项目管理平台
  8. vc6.0 radio控件多个分组
  9. eclipse安装OpenExplorer插件--快速打开文件目录
  10. Android Studio 插件——《阿里巴巴 Java 开发规约》的扫描插件
  11. ios为了用户隐私安全,禁止自动播放音频文件的解决办法(微信端)
  12. 计数器matlab,MATLAB中的几个时间计数器
  13. 45个实用的前端开发工具汇总
  14. 对.Net 垃圾回收的C#编程相关方面(Finalize 和Dispose(bool disposing)和 Dispose())的一些理解体会
  15. InDesign 教程如何创建和修改和使用母版页
  16. 一致性哈希算法 mysql_一致性哈希算法 CARP 原理解析, 附 Golang 实现
  17. matlab绘制垂线(x轴或y轴)
  18. python的sort函数
  19. [001] winnie the pooh - 读后记
  20. python主程序调用子程序_使用main.f90模块调用特定的.f文件运行f2py时出现问题?(未知的子程序?)...

热门文章

  1. 黑马程序员_Java基础_前期准备02-1
  2. 日活100wAPP一天的广告收益有多少?
  3. 2022全网最全Java面试题-小米社招面试经验java,面试题整理(一面二面)
  4. 【JavaScript速成之路】JavaScript数据类型转换
  5. 电脑被锁解决方法5种方法解除开机密码
  6. 虚拟机配置IP和关闭防火墙
  7. 用 TensorFlow.js 在浏览器中训练一个计算机视觉模型(手写数字分类器)
  8. 前端实战:React 多页签缓存处理
  9. 2022年第31届中国国际信息通信展览会
  10. RedHat RHEL7.2  系统安装详细步骤