formality流程总结1

一、图形界面启动方式

在命令行输入formality,接着可以看到如下界面
以下1~6为进行formality的流程

0、Guid

在这一步导入DC综合产生的.svf文件,该文件记录了DC在综合时对设计所作的优化。
然后
底下log一栏返回“1”表示加载成功。

1、Ref

这一步是加载你的设计文件,RTL代码及IP等。
可以看到有三个步骤需要执行,分别为
1)Read Design File
2) Read DB Libraries
3) Set Top Design

Read Design File

根据自己的设计,选择需要读取的文件类型,如“Verilog”。选中需要添加的设计文件,点击Open,导入设计文件。

如果设计中用到了某些Design Ware库中的IP,还需要设置搜索目录,如果没有可以跳过该步。

Read DB Libraries

如果代码中例化了一些IO或Macro,或者例化了标准单元库中的一些单元,这里需要读取它们的DB文件,如下图。

Set Top Design

设置完顶层之后,如果成功,log栏会返回“1”,如果返回“0”,可以看一下该链接link中的一个解决办法。

2、Impl

该步跟上面1类似,加载相关文件之后,设置顶层。注意,此处在Read Design File这一步加载的是DC综合后的网表文件。

3、Setup

这一步是设置环境,如关于JTAG或SCAN的设置等,没有的话可以跳过。

4、Match

检查ref.和impl.的比较点是否匹配。点击"4.Match",选择“Run Matching",等待匹配完成。
该步运行完成之后如下图
一般来说,应该所有点都需要匹配上,出现有不匹配的点时,可以在去查看是哪些点不匹配。也可以继续进行5.verify,如果成功,则不需要理会不匹配的点,否则需要Debug,查看是哪些点不匹配,什么原因导致verify不通过。
这里有不匹配的点先不理他,接着进行verify。

5、Verify

该步验证综合前的设计和综合后的网表在逻辑上是否一致。如下图,可以看到验证成功。

6、Debug

如果验证不成功,可以如下图所示进行Debug。

二、命令行方式启动

在命令行输入fm_shell,可以看到如下界面

之后可以一条一条地输入命令,也可以直接运行提前写好的脚本文件,如下示例:

# 0.Guid.
set_svf -append { /home/.../*.svf}   # 括号中填入.svf文件的路径
# 1.ref.
read_verilog -container r -libname -WORK -05 { design_path1 \
design_path2}  # 括号中写入需要添加文件的路径,如需要换行,行末用 \
read_db { .db 文件的路径}
set_top r:/WORK/your_top
# 2.impl.
read_verilog -container r -libname -WORK -05 { design_path1 }
read_db { .db 文件的路径}
set_top i:/WORK/your_top
# 4.match
match
# 5.verify
verify

verify完成之后

之后在命令行中输入start_gui可以打开图形界面。
注意:
1、综合时set_svf放在综合脚本文件的最前面,在最后面加set_svf -off。

参考资料

https://blog.csdn.net/weixin_43755290/article/details/105097210

Formality使用总结1相关推荐

  1. SYNS formality 形式验证常见debug 步骤

    formality 是synopsys 用来验证两个design是否等价的工具,也是IC实现中sign off tool,常用在design ECO 验证,tptg 前后由于design hierar ...

  2. Formality流程

    Formality流程 前言 一.formality是什么? 二.使用步骤 0.打开formality的gui界面 1.导入svf文件 2.读入verilog文件 3.读入网表文件 4.setup 5 ...

  3. formality软件使用教程

    (参考:https://mp.weixin.qq.com/s/XznSbJBlAdZvtAIpyzajAA) 一.formality简介 在现在的数字集成电路设计流程中,有很多步骤都需要进行验证.随着 ...

  4. 形式验证 formality的设置及fm_shell使用

    形式验证进阶(二):Setup阶段的约束信息&说说formality中比较点匹配 2018-10-26  芯司机  公众号:chipdriver 之前的文章导读 <形式验证入门之基本概念 ...

  5. formality的一点经验总结

    文章目录 一. 总结: 二. netlist和svf的配套一致 三. formality遇到的坑 四. pattern match视角 五. IP/IO/standcell的处理 一. 总结: 解决d ...

  6. Formality总结

    Formality总结 Undriven signal 设置 对于design出现的undriven signal,在做formality时该怎么处理呢? Formality通过变量verificat ...

  7. formality verify cases

    formal验证 cut-point 异步旁路 异步状态保持循环圈 重新编码有限状态机 单独定义FSM状态 cut-point 切点cutpoints表示在信号路径中插入2:1多路复用器. 原始信号成 ...

  8. formality: 形式验证流程

    formality工具作用于设计开发过程中验证逻辑功能是否产生变化,不考虑layout与timing,可以作为动态仿真的替代品.受制于设计规模,仿真的时间与其输入向量的多寡有关,而formal ver ...

  9. Formality形式验证教程

    Formality形式验证主要验证综合后,生成的网表文件功能和之前的verilog文件功能是否一致, 需要两个文件,一个verilog文件,一个是网表文件 1.新建一个文件夹,把verilog文件和网 ...

最新文章

  1. zip压缩多个文件,解压时不包含目录层级
  2. js弹出一段html,html js 弹出层
  3. Windows搭建golang开发平台
  4. Apache 2.2 虚拟主机配置(本人推荐的)
  5. mysql maxconnections 最大值,MySQL性能优化之max_connections配置参数浅析
  6. require.js学习记录
  7. gRPC-微服务间通信实践
  8. Android 活体人脸实时采集,百度、虹软
  9. 【SPOJ - SCITIES】Selfish Cities (二分图最优匹配,最大费用流)
  10. RedHat Linux 启动FTP
  11. 【Elasticsearch】es 提高 搜索速度
  12. iOS下JS和原生交互,函数互调
  13. 3D重建曼哈顿街景!谷歌开源Kartta Labs,使用深度学习和众包再现历史街景
  14. 如何构建config文件
  15. java导出excel水印_springboot为导出的pdf和excel加水印
  16. VBA字典对象操作技巧
  17. 服务器硬件配置应如何选择?
  18. 速腾聚创 RoboSense RS-Helios 32线激光雷达使用 LeGO-LOAM 算法建图
  19. 已解决在向有外键表插入数据提示“foreign key constraint fails”
  20. 优购小程序项目效果预览

热门文章

  1. 有趣python小程序系列之一
  2. c语言中lookup函数功能,查询引用之王——Lookup函数实用技巧解读!
  3. 电商基本功:被小瞧的促销设计,并没有想得那么简单
  4. 转:elasticsearch下载太慢在国内, 我把包放到了云盘上,还有kibana,logstash.有需要自取,持续更新版本
  5. 【Pyecharts50例】自定义饼图标签/显示百分比
  6. 【Matlab】使用Matlab运行Windows命令行命令+实例
  7. SM2269XT固态硬盘使用量产工具开卡成功
  8. Spark、Docker、Tensorflow开发者看过来,CCTC 2017报名开启
  9. 2015年4月9号和4月11号
  10. Mac右键增强工具Easy New File如何快速新建文件夹?