饮料销售机

UML

顺序图文档

引言

本文档为饮料销售机设计过程中的

UML

顺序图文档,编写成员为开发成员,目的是为

了方便后续的开发更顺利并且便利的开展,

了解系统功能顺序,

对系统有一个更加直观的功

能框架。

饮料销售机分析

在自动饮料售货机的“买饮料”场景中,假设饮料销售机有

3

个部分:前端(

front

)

钱币记录仪(

register

)以及分配器(

dispenser

)

前端负责:

接受顾客的选购和现钞;

显示诸如

Out of selection

(所选饮料已售完)

User

correct change

(使用合适零钱)

的信息;

从记录仪接收找回的零钱并返还给顾客;

返还现钞;

从分配器接收一罐饮料并把它交给顾客。

钱币记录仪负责:从前端获取顾客输入的信息(即选购的饮料的种类和现钞)

;更新现

钞存储;

如果缺少零钱将不让系统服务并在前端显示没有零钱;

若零钱充足一切正常,

找零

钱。

分配器负责:检查选购的饮料是否还有货;分发一罐饮料。

类图描述:

(注:该图只提供参考,参数和返回值可自行定义,方法也可以增加)

UML

顺序图

在饮料售货机购买饮料的所有情况中,

都需要顾客往前端放入金钱,

由钱币记录仪判定

钞票面额。

1

理想状态下买饮料(购买成功且不用找零)

在理想状态下,顺序如下:

1

顾客放入现钞

inputMoney

()

2

前端接收现钞并将现钞传给钱币记录仪

accept

()

3

钱币记录仪对现钞面额进行判断

getCustomerInput

()

4

checkForSoda

()

5

分配器向前端返回可购买饮料信息

returnSodaFree

()

6

前端将可购买饮料显示给顾客

displayPrompt

()

7

顾客选择饮料

chooseSoda

()

8

前端将结果给分配器

sendChooseToDis

()

9

分配器检查是否有该饮料

checkAvailability

()

10

分配器向前端释放饮料

releaseSoda

()

11

前端接收饮料并释放出来

receiveSoda

()

12

购买结束

顺序图如下

:

2

顾客要买的饮料售完

在此情况下,顺序如下:

1

顾客放入现钞

inputMoney

()

2

前端接收现钞并将现钞传给钱币记录仪

accept

()

3

钱币记录仪对现钞面额进行判断

getCustomerInput

()

4

现钞

消息

的饮

checkForSoda

()

自动售货机 顺序图_饮料销售机UML顺序图相关推荐

  1. verilog 自动售货机状态机实现_基于Verilog语言的简单自动售货机-数电课设报告(最终版)最新版...

    <基于Verilog语言的简单自动售货机-数电课设报告.docx>由会员分享,可免费在线阅读全文,更多与<基于Verilog语言的简单自动售货机-数电课设报告(最终版)>相关文 ...

  2. uml点餐系统活动图_客户点餐UML活动图汇.doc

    客户点餐UML活动图汇 下图是一个客户点餐UML活动图示例,它描述如何使用操作.连接线.分支和循环演示一系列操作. 以下各节将更详细地说明每个元素. 活动图使用"操作"和" ...

  3. java 包图_九步实现UML包图创建

    本文和大家一起讨论一下UML包图的概念,包是一个UML结构,它使得你能够把诸如用例或类之类模型元件组织为组.包被描述成文件夹,可以应用在任何一种UML图上. UML包图简介 一个"包图&qu ...

  4. visio uml 画java类图_用visio画UML类图

    对于画类图的工具很多,曾经学过用starUML画类图,但这个是用于Java语言的,对于用vs编程C++语言,个人感觉用visio会好一点.以下用以前面的俄罗斯方块游戏中的一个Block类为例来讲述 c ...

  5. Java自动售货机实验报告,java案例自动售货机

    组态王实验案例--全自动售货机_信息与通信_工程科技_专业资料.西门子plc与组态王的实验案例 全自动售货机操作步骤 1.新建工程,命名为全自动售货机 2.设备\\...... 脚本是系统的 用例的实 ...

  6. 公司新加了一台友宝自动售货机引发的思考-适配者模式

    一.前言 公司茶水间不知道什么时候新增了一个友宝自动售货机,里面的饮料比外面的价格便宜很多(几乎是半价啦).兴冲冲的去点击金罐多加宝,价格3.5元,付钱发现没有带钱包,幸好带了手机可以微信.支付宝.Q ...

  7. 公司新加了一台友宝自动售货机引发的思考-适配器模式

    设计模式相关文章 一.前言 公司茶水间不知道什么时候新增了一个友宝自动售货机,里面的饮料比外面的价格便宜很多(几乎是半价啦).兴冲冲的去点击金罐多加宝,价格3.5元,付钱发现没有带钱包,幸好带了手机可 ...

  8. 全球及中国智能逆向自动售货机行业市场规模调研及未来前瞻报告2022-2028年

    全球及中国智能逆向自动售货机行业市场规模调研及未来前瞻报告2022-2028年 详情内容请咨询鸿晟信合研究院! [全新修订]:2022年3月 [撰写单位]:鸿晟信合研究网 1 智能逆向自动售货机(RV ...

  9. FPGA学习altera 系列 第十七篇 自动售货机设计

    今天给大侠带来"FPGA学习系列 altera"系列,持续更新.此学习心得是本人之前所写,所用设计软件为Quartus II 13.1,现Quartus II 新版本已更新到19+ ...

最新文章

  1. String 中的hashCode方法
  2. C#(Net)软件开发常用工具汇总,提高你的开发效率
  3. 泰拉瑞亚服务器权限文件,泰拉瑞亚云服务器权限
  4. 融合了距离矢量和链路状态两种路由选择协议的优点
  5. 写在中国雅虎关闭之后
  6. MySQL创建用户与授权方法
  7. socket.io c++库编译不成功的注意事项
  8. MyBatis缓存分为一级缓存和二级缓存
  9. Martin Odersky Scala编程公开课 第二周作业
  10. linux 设置pip 镜像 Pip Warning:–trusted-host 问题解决方案
  11. 牙疼怎么快速止痛,三招解决牙痛立竿见影
  12. 2.1微信小程序简介
  13. 牛逼!女生怒考 692 分,想当程序员,卷了卷了。。
  14. LeetCode hot-100 简单and中等难度,91-100.
  15. win11微软新系统全新效果曝光
  16. java笔试题---用*打印漏斗形,信雅达笔试题
  17. Java实现文档在线预览
  18. Java多线程-将全量用户表70万数据压缩并生成CSV文件和推送到FTP上(最快快方式)
  19. NDI Bridge使用说明——与本地网络之外的其他人共享 NDI 源
  20. 分布式架构设计概要总结

热门文章

  1. 创业前期的准备很重要,这是创业成功的基础
  2. WPF动态加载TabControl
  3. 神经网络推理加速—— GPU为什么这么牛
  4. 林业病虫害数据集和数据预处理方法介绍
  5. 《用户体验要素》读书笔记 2017.11.05
  6. python爬虫入门教程
  7. 特斯拉车钥匙低功耗评测过程中,发现一个奇怪问题,路过的大神帮忙看看!
  8. 窥探渣男天才爱因斯坦的一生
  9. 将来你有小孩了,用几句简单的语录教育他们
  10. 论文阅读——WaveNet: A Generative Model for Raw Audio