文章目录

  • 一、开发流程
  • 二、新建文件夹(不许出现中文路径)
  • 三、新建工程
  • 三、添加设计文件
  • 四、配置工程
  • 五、分析与综合
  • 六、分配引脚
  • 七、编译工程
  • 八、下载程序
  • 附、修改成jic文件

一、开发流程

二、新建文件夹(不许出现中文路径)

先创建一个工程文件夹flow_led再创建四个子文件夹

doc存放工程的设计文档或者其他一些datasheet文档、数据手册
par存放工程文件
rtl存放设计文件,也就代码
sim存放工程的仿真文件

第一个第四个可以为空,但是做此可以有良好的习惯

三、新建工程


这是打开一个工程向导



这是选择FPGA的芯片型号的

选择第三方EDA的工具的


最后的信息确认

三、添加设计文件



选择Verilog语言进行编写

四、配置工程

咳咳上面应该才是配置工程,三和四写反了
找一个流水灯的代码

module flow_led(input               sys_clk  ,  //系统时钟input               sys_rst_n,  //系统复位,低电平有效output  reg  [3:0]  led         //4个LED灯);//reg define
reg [23:0] counter;//*****************************************************
//**                    main code
//***************************************************** //计数器对系统时钟计数,计时0.2秒
always @(posedge sys_clk or negedge sys_rst_n) beginif (!sys_rst_n)counter <= 24'd0;else if (counter < 24'd1000_0000)counter <= counter + 1'b1;elsecounter <= 24'd0;
end//通过移位寄存器控制IO口的高低电平,从而改变LED的显示状态
always @(posedge sys_clk or negedge sys_rst_n) beginif (!sys_rst_n)led <= 4'b0001;else if(counter == 24'd1000_0000) led[3:0] <= {led[2:0],led[3]};elseled <= led;
endendmodule


ctrl+s保存到rtl文件夹下

五、分析与综合


六、分配引脚


点击的是…/rtl/flow_led.v这个代码页面


这个东西只需要设置一次就够了

将复用引脚改成普通的IO

咳咳,接下来才是真正的分配引脚


打开引脚分配表

对照着填入就行

有点乱,被我弄得,不过将就着看吧,点击关闭就行

刚刚设置的引脚都在qsf这个文件里面,如果想要更改,可以直接在这个文件里面更改

七、编译工程



这里是进度:
分析与综合
布局布线
生成用于加载程序的文件
时序分析
EDA网标

看到警告不要慌(我当时是慌了),其实好像是时序没做仿真没做的原因

八、下载程序

点击programmer

添加驱动

添加下载文件




下载程序
嗯,超级紧张,害怕出现问题

成功了!!!
贼开心啊

注意点:如果关闭后,再次打开电源,是不会进行流水灯的
因为默认是保存在片内SRAM的,而这个是掉电不保存的。如果想要掉电保存的话,需要固化到开发板的Flash中
而固化文件是jic文件,需要手动将sof文件变成jic文件

附、修改成jic文件









jic文件比sof文件时间长,并且掉电再上电才有结果

如果想擦除程序

如果想再写入,在前面打勾就行

点灯小视频

点灯了点灯了

FPGA新起点V1开发板(三)——Quartus II软件的使用(流水灯的烧录以及sof转jic的方法记录)相关推荐

  1. FPGA新起点V1开发板(二)——Quartus II软件的安装和USB-BLaster驱动安装

    文章目录 一.Quartus II软件的安装 二.USB-BLaster驱动安装 一.Quartus II软件的安装 当然,这种东西我要是再写一遍就很无聊了,这里给出方法和连接 视频:Quartus ...

  2. 学习笔记(01):巫妖王51单片机开发板配套视频课程-LED闪烁和流水灯效果

    立即学习:https://edu.csdn.net/course/play/6735/133119?utm_source=blogtoedu 2020-5-14 keil LED 闪烁,流水灯

  3. 【连载】【FPGA黑金开发板】NIOS II那些事儿--外部中断实验(五)

    声明:本文为原创作品,版权归本博文作者所有,如需转载,请注明出处http://www.cnblogs.com/kingst/ 简介 这一节,我们通过来讲解一下NIOS II的硬件中断的内容,同时借助这 ...

  4. 【EDA实验一】Quartus II 软件和 DE2-115 开发板 使用入门实验

    Quartus II 软件和 DE2-115 开发板 使用入门实验 文章目录 一.实验目的 二.实验任务及要求 三.实验原理与步骤 四.实验结果与分析 一.实验目的 熟悉 Quartus II 开发环 ...

  5. 【连载】【FPGA黑金开发板】NIOS II那些事儿--硬件开发(一)

     声明:本文为原创作品,版权归黑金动力社区(http://www.heijin.org)所有,如需转载,请注明出处http://www.cnblogs.com/kingst/ 前言 从今天开始,NIO ...

  6. 基于Quartus II软件FPGA与PC之间的串行通信系统开发(9000+字)

    1.简介与仿真结论 随着集成电路技术的发展,电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域.电子设计自动化是一种实现电子系统或电子产品自动化设计的技术,它与 ...

  7. [首发] 多方位玩转“地平线新发布AIoT开发板——旭日X3派(Sunrise x3 Pi)” 插电!开机!轻松秒杀!

    有幸在发布会前拿到了开发板,可以提前对开发板测试,感受下新品AIoT的魅力.(我这个是体验装,不花钱,需要啥设备他们还得给我买→_→) 下面我将以自己的科研项目经历来对这款芯片进行评估,简单来说,就是 ...

  8. 移远EC20 4G模块LTE开发板三网通模块 MQTT阿里云物联网

    摘自:移远EC20 4G模块LTE开发板三网通模块 MQTT阿里云物联网STM32代码-电脑看 地址:https://www.bilibili.com/video/BV1EJ411P7CR?from= ...

  9. Tang Nano FPGA(35元开发板).初探

    ​Lichee Tang Nano 基于高云小蜜蜂系列GW1N-1 FPGA的简约型开发板.该芯片搭载了1K LUT4的逻辑资源,1 PLL和4 Block RAM,开发板引出了所有I/O接口,适用于 ...

最新文章

  1. 复用 TensorFlow 模型
  2. SQL Server 2008 性能测试和调优
  3. verilog出租车计价器
  4. Arraylist理解(3)删除元素
  5. 区块链 性能测试工具
  6. QQ文件中转站 发送给好友的功能 哪去了?
  7. JUCE小白求教VS2019-C1083无法打开包括文件
  8. c语言 printf 输出 long 整型
  9. 嵌入式开发入门基础篇
  10. 简单系统U盘制作 / 安装系统
  11. PC微信机器人之实战分析通过wxid获取用户信息
  12. 方舟服务器id哪里显示,方舟怎么看自己的ID | 手游网游页游攻略大全
  13. 阿里云服务器与腾讯云服务器的故事
  14. 支持多线程编程的Web Workers
  15. TypeScript 高级类型及用法
  16. MySQL之CentOS安装MySQL
  17. 麒麟服务器下更新raid驱动
  18. 浅谈大数据如何管理与分析
  19. MAC OS 上好用的文本编辑器除了Sublime Text和BBEdit还有这些好用的推荐一下。
  20. 在b站上跟着沐神学习深度学习

热门文章

  1. OpenCv--Mat矩阵(图像容器)的创建及CV_8UC1,CV_8UC2等参数详解
  2. Spring Boot 配置 MySQL 数据库
  3. linux学习(一)——基本操作(权限、路径、文件、压缩)
  4. CocosCreator实现UNO纸牌游戏
  5. java 字符串转utc时间_JAVA 本地时间字符串转UTC时间字符串
  6. 让数据说话 QQ邮箱中转站速度评测/应用
  7. php 抖音openApi 获取粉丝权限
  8. 聊一聊移动web分辨率的那些事儿
  9. WPS 插入 图片 显示 不 完全(OFFICE小问题笔记)
  10. 交管12123服务器维护,交管12123支付宝打不开解决方法