注意"引脚号",不同的单片机,接入的I/O口可能不同。代码依据下方给出的仿真图接线进行编写。

/**************************
Title :数码管动态显示(定时器+中断)
Author:Guanglei Bie
E-mail:bglei@foxmail.com
Data:  2022/11/19
**************************/#include "reg52.h"
#include "intrins.h"sbit LSA=P3^0; //38译码器的输入
sbit LSB=P3^1;
sbit LSC=P3^2;#define led P2unsigned char flag1s=0;
unsigned char code smgduan[16]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71};    //共阴极数码管显示0~F的值,code表示存储在flash区,给RAM节省内存
unsigned char ledbuff[8]={0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00};
unsigned char i=0;
unsigned int cnt=0;
unsigned long sec=0;void main()
{   LSA=0;   LSB=0;LSC=0;    TMOD=0x01; //定时器模式1TH0=0xFC;  //定时器16位初值0xFC67定时大约1msTL0=0x67;  //“100hz无闪烁”:也就是10ms内的变化人眼很难看出闪烁,1ms一位,8位数码管共8ms,符合要求TR0=1;     //定时器启动EA=1;    //中断使能ET0=1;   //中断0启动led=0x7f;while(1){if(flag1s==1){flag1s=0;led=_crol_(led,1);sec++;if(sec==100000000){sec=0;}ledbuff[7]=smgduan[sec%10];ledbuff[6]=smgduan[sec/10%10];ledbuff[5]=smgduan[sec/100%10];ledbuff[4]=smgduan[sec/1000%10];ledbuff[3]=smgduan[sec/10000%10];ledbuff[2]=smgduan[sec/100000%10];ledbuff[1]=smgduan[sec/1000000%10];ledbuff[0]=smgduan[sec/10000000%10];}}
}void interruptTime0() interrupt 1  //定时器溢出时自动进入中断,中断自动给定时器溢出位清零
{TH0=0xfc;TL0=0x67;cnt++;if(cnt>=1000){cnt=0;flag1s=1;}P1=0x00; //有些段闪微光(鬼影),消除数码管的鬼影(消影)switch(i){case 0:LSC=0;LSB=0;LSA=0;i++;P1=ledbuff[0];break;case 1:LSC=0;LSB=0;LSA=1;i++;P1=ledbuff[1];break;case 2:LSC=0;LSB=1;LSA=0;i++;P1=ledbuff[2];break;case 3:LSC=0;LSB=1;LSA=1;i++;P1=ledbuff[3];break;case 4:LSC=1;LSB=0;LSA=0;i++;P1=ledbuff[4];break;case 5:LSC=1;LSB=0;LSA=1;i++;P1=ledbuff[5];break;case 6:LSC=1;LSB=1;LSA=0;i++;P1=ledbuff[6];break;case 7:LSC=1;LSB=1;LSA=1;i=0;P1=ledbuff[7];break;default:break;}
}//附1:1ms(0.001s)定时初值设定 x*12/11059200=0.001解得x=921.6  65536-921=64615对应的十六进制为0xFC67
//附2:中断的作用是保证计算过程(需要时间)不影响数码管的赋值(避免赋值时间不均匀导致的闪烁),只要达到1ms,不管main函数进行到哪儿,立马进入中断进行赋值,赋值时间均匀
//注: 欢迎邮箱交流,见文尾


欢迎一起交流学习,邮箱:bglei@foxmail.com

51单片机:共阴数码管动态显示(定时器+中断)相关推荐

  1. 两位数码管30秒倒计时c语言,基于51单片机共阴两位数码管显示倒计时

    <基于51单片机共阴两位数码管显示倒计时>由会员分享,可在线阅读,更多相关<基于51单片机共阴两位数码管显示倒计时(2页珍藏版)>请在人人文库网上搜索. 1.基于51单片机共阴 ...

  2. 4位7段共阴数码管动态显示的verilog代码

    4位7段共阴数码管动态显示的verilog代码 sn_data为输入的显示数值 clk接100MHz时钟 s为数码管位选信号,高电平有效 seg为7段共阴极数码管输出 `timescale 1ns / ...

  3. 51单片机入门教程(5)——定时器中断

    51单片机入门教程(5)--定时器中断 一.中断的概念 二.定时器中断 2.1 软件延时的不足 2.2 中断寄存器 2.2.1 中断允许控制寄存器 IE 2.2.2 定时器工作方式寄存器 TMOD 2 ...

  4. 三位共阴数码管动态显示按键控制加减

    用这个软件也有一两个月了,学习了很多大神的教程代码,让我飞速的进步学习了解电子科技的知识,现在我也想回报大家,慢慢写文章发布我所了解的项目的教程,尽可能写简单通俗,让大部分初学者都能看懂. 买这东东将 ...

  5. 单片机==共阴数码管(4)

    目的: 在共阴数码管上,轮流在对应的数码管显示出0-7的数字 包括的文件如下 //seg.c #include <reg52.h> #include <intrins.h> # ...

  6. 51单片机——共阳数码管的动态显示(有一个小问题)

    51单片机--数码管的动态显示 数码管的原理图及基本原理 例题(附代码) 数码管的原理图及基本原理 原理图 怎么工作的我就不介绍了,具体看我这个专栏中以前的博客 基本原理 动态显示实质上就是轮流点亮单 ...

  7. 51单片机:单个数码管动态显示

    单个数码管动态显示 仿真电路(软件为Proteus) 所用元件目录 步骤 插入电路图 插入一段漂亮的代码片(软件为Keil) 段码 仿真电路(软件为Proteus) 所用元件目录 1.AT89C51R ...

  8. 51单片机教程:数码管动态显示(0~99999999)74hc138驱动

    数码管动态显示0~99999999 一.共阴极8位数码管原理 首先了解一些必要知识 1.多位数码管是扫描显示,也就是同一时刻只有一个数码管显示 2.视觉暂留效果:第一个数码管显示完,第二个数码管接着显 ...

  9. c语言数码管中断器,数码管动态显示 定时器中断 数码管动态显示不正常

    下面是郭天祥老师<新概念51单片机C语言教程>75页的程序,我想用proteus仿真, 按照书上的延时5毫秒仿真,数码管显示数字不完整,附图是仿真结果,什么原因? 哪位朋友给解释一下. # ...

最新文章

  1. 皮一皮:秀恩爱死的快啊!!!!
  2. (chap6 Http首部) 为Cookie服务的首部字段
  3. 关于STM32F4的FMC CLK配置浅解
  4. 看得见的开发管理方法—缺陷管理
  5. POJ 3181 Dollar Dayz DP
  6. Android 打开另一个APP,并传参
  7. 微信小程序学习笔记-1-环境及基础结构
  8. qt在GUI显示时,将调试信息输出到控制台的设置
  9. 安卓异常总结---WARNING: Application does not specify an API level requirement!
  10. SkyWalking 观测 Service Mesh 技术大公开
  11. 511遇见易语言大漠模块制作教程找图FindPic
  12. matlab进化树的下载,mega7进化树软件下载
  13. 计算机系统文件夹打不开,为什么打不开文件夹
  14. iOS9 3DTouch、ShortcutItem、Peek And Pop技术一览
  15. algorithm java_Java KeyPairGenerator getAlgorithm()用法及代码示例
  16. WeChat基础 senparc公众平台搭建
  17. 罗杰斯的创新扩散模型
  18. 1. NETSDK1141    无法解析位于  global.json 中指定的 .NET SDK 版本
  19. html5+css搭建一个网页创意画框效果/案例演示
  20. 如何科学(mianfei)使用百度文库

热门文章

  1. 16.转圈圈报数游戏
  2. Android MVVM框架搭建(十)Hilt、ViewBinding、Activity Result API
  3. iPhone手机总是弹出输入ID密码怎么办?
  4. 服务器系统装显卡驱动,windows2019服务器系统安装显卡驱动(A卡篇)
  5. 点击链接重定向跳转微信公众号关注页、微信关注链接
  6. 知数堂MySQL DBA在线培训第八期招生中
  7. 《写给大家看的设计书》——从iOS7的扁平化谈起
  8. 机器学习一脸懵笔记【05】概率论
  9. 数学常识--标准差、方差、协方差三者的表示意义
  10. 【风马一族_php】