这里列出两个简单的例子文件,以供参考。
例子都来源于网上。

Waveform SampleSignal #pin定义Stim00   : input;Stim01   : input;Stim02   : input;Stim03   : input;Stim04   : input;Stim05   : input;Stim06   : input;Stim07   : input;Stim08   : input;Stim09   : input;Stim10   : input;Stim11   : input;Stim12   : input;Stim13   : input;Stim14   : input;Stim15   : input;Resp00   : output;Resp01   : output;Resp02   : output;Resp03   : output;Resp04   : output;Resp05   : output;Resp06   : output;Resp07   : output;Resp08   : output;Resp09   : output;Resp10   : output;Resp11   : output;Resp12   : output;Resp13   : output;Resp14   : output;Resp15   : output;EndTimeplate Match_0 Period 100nS #timing定义Stim00   := input[0pS:S];Stim01   := input[0pS:S];Stim02   := input[0pS:S];Stim03   := input[0pS:S];Stim04   := input[0pS:S];Stim05   := input[0pS:S];Stim06   := input[0pS:S];Stim07   := input[0pS:S];Stim08   := input[0pS:S];Stim09   := input[0pS:S];Stim10   := input[0pS:S];Stim11   := input[0pS:S];Stim12   := input[0pS:S];Stim13   := input[0pS:S];Stim14   := input[0pS:S];Stim15   := input[0pS:S];Resp00   := output[0pS:Q];Resp01   := output[0pS:Q];Resp02   := output[0pS:Q];Resp03   := output[0pS:Q];Resp04   := output[0pS:Q];Resp05   := output[0pS:Q];Resp06   := output[0pS:Q];Resp07   := output[0pS:Q];Resp08   := output[0pS:Q];Resp09   := output[0pS:Q];Resp10   := output[0pS:Q];Resp11   := output[0pS:Q];Resp12   := output[0pS:Q];Resp13   := output[0pS:Q];Resp14   := output[0pS:Q];Resp15   := output[0pS:Q];End#pattern的内容Pattern Loopback (Stim00,Stim01,Stim02,Stim03,Stim04,Stim05,Stim06,Stim07,Stim08,Stim09,Stim10,Stim11,Stim12,Stim13,Stim14,Stim15,Resp00,Resp01,Resp02,Resp03,Resp04,Resp05,Resp06,Resp07,Resp08,Resp09,Resp10,Resp11,Resp12,Resp13,Resp14,Resp15){Start Vectors, it's a label} #注释Loop 32 #loop 32次Vector(+, Match_0) := [1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0];Vector(+, Match_0) := [0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0];Vector(+, Match_0) := [0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0];Vector(+, Match_0) := [0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0];Vector(+, Match_0) := [0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0];Vector(+, Match_0) := [0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0];Vector(+, Match_0) := [0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0];Vector(+, Match_0) := [0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0];Vector(+, Match_0) := [0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0];Vector(+, Match_0) := [0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0];Vector(+, Match_0) := [0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0];Vector(+, Match_0) := [0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0];Vector(+, Match_0) := [0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0];Vector(+, Match_0) := [0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0];Vector(+, Match_0) := [0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0];Vector(+, Match_0) := [0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1];Vector(+, Match_0) := [0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1];Vector(+, Match_0) := [0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0];Vector(+, Match_0) := [0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0];Vector(+, Match_0) := [0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0];Vector(+, Match_0) := [0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0];Vector(+, Match_0) := [0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0];Vector(+, Match_0) := [0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0];Vector(+, Match_0) := [0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0];Vector(+, Match_0) := [0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0];Vector(+, Match_0) := [0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0];Vector(+, Match_0) := [0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0];Vector(+, Match_0) := [0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0];Vector(+, Match_0) := [0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0];Vector(+, Match_0) := [0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0];Vector(+, Match_0) := [0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0];Vector(+, Match_0) := [1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0];EndRepeat 4 #repeat 4次{End Vectors}Vector(+, Match_0) := [0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0];EndEnd
End

STIL例子:

STIL 1.0;Signals { #定义pinDIR In;OE_ In;A0 In; A1 In; A2 In; A3 In;A4 In; A5 In; A6 In; A7 In { ScanIn; };B0 Out; B1 Out; B2 Out; B3 Out;B4 Out; B5 Out; B6 Out; B7 Out  { ScanOut; };
}SignalGroups { #定义pin组ABUS='A7 + A6 + A5 + A4 + A3 + A2 + A1 + A0';BBUS='B7 + B6 + B5 + B4 + B3 + B2 + B1 + B0';ALL ='DIR + OE_ + ABUS + BBUS'{WFCMap { //Block allows mapping WaveformCharacter values to other WaveformCharacters.z->x; //single-WFC mapping01->x;//two-WFC mapping (requires presence of \j)} // end WFCMap} // end ALL;
}DCLevels dc_func { #定义levelALL {VIH 'vih1';VIL 'vil1';}
}Timing "basic_timing" { #定义timingWaveformTable "one" {Period '500ns';Waveforms {DIR { 01 { '0ns' D/U; }} //define two or more WFC in one lineOE_ { 01 { '0ns' U; '200ns' D/U; '300ns' U; }} ABUS { 01 { '10ns' D/U; }}BBUS { HLZ { '0ns' Z; '0ns' X; '260ns' H/L/T; '280ns' X;}}}} // end WaveformTable one
} // end Timing "basic_timing"PatternBurst "pat1_burst" { //defines the sequences of patterns to be executed during a testPatList { "pattern_1";}
}// end PatternBurst "pat1_burst"PatternExec { //block is the "glue" that defines all of the pieces needed in order to execute patterns on a tester.DCLevels "dc_func";Timing "basic_timing";PatternBurst "pat1_burst";
} //end PatternExecPattern "pattern_1" { #定义patternW "one"; //WaveformTableDeclarationLAB000: V { ALL=0000000000LLLLLLLL; } // Measure all VOL hereLAB001: V { ALL=0010000000HLLLLLLL; } // Measure B7 VOH hereV { ALL=0001000000LHLLLLLL; }V { ALL=0000100000LLHLLLLL; }V { ALL=0000010000LLLHLLLL; }V { ALL=0000001000LLLLHLLL; }V { ALL=0000000100LLLLLHLL; }V { ALL=0000000010LLLLLLHL; }LAB008: V { ALL=0000000001LLLLLLLH; } // Measure B0 VOH hereLoop 5 {V { ALL=0000000010LLLLLLLL; }}Stop;
} // end Pattern " pattern_1"

STIL和WGL的例子文件相关推荐

  1. 重要公告:发布backtrader通过ctp接口进行国内期货实盘模拟交易的接口和例子文件

    backtrader回测功能非常强大,但是只开发了国外的实盘交易接口,国内还没有公开的实盘交易接口. 鉴于广大用户都有实盘交易需求.我们对此进行了开发.目前完成了第一阶段的工作.即开发了针对国内期货交 ...

  2. QT小例子 ---文件查找

    先看看效果图吧,可以查找文件,以及通过文件内容进行查找 #ifndef WINDOW_H #define WINDOW_H#include <QDialog> #include <Q ...

  3. android NDK 编译hellojni 例子文件

    运行android-cmd.bat,使用cd命令切换到samples/hello-jni目录下, 运行android update project -p . -s,会生成build.xml文件,用于a ...

  4. 带你秒懂STIL文件

    带你秒懂STIL文件 STIL?这是什么鬼?我为什么要谈这玩意儿?相信很多工程师,特别是刚入行或准备入行的兄弟们或多或少听过测试相关的东西.如果你想做DFT工程师的,测试工程师的,而对于设计/验证工程 ...

  5. makefile的基本使用方法,使用bc编译文件的例子-参照任哲的《嵌入式实时操作系统μCOS-II原理及应用-任哲(第3版)》

    实验例子文件:(不一定需要,本文有所有内容,在此给出只是为了方便) makefile的基本使用方法,使用bc编译文件的例子-参照任哲的<嵌入式实时操作系统μCOS-II原理及应用-任哲(第3版) ...

  6. Python之路(第九篇)Python文件操作

    一.文件的操作 文件句柄 = open('文件路径+文件名', '模式') 例子 f = open("test.txt","r",encoding = &quo ...

  7. 常见文件扩展名(二)

    转载至程式先锋技术维客[url]www.javabiz.cn[/url] ASC ASCⅡ文本文件:PGP算法加密文件 ASD Microsoft Word的自动保存文件:Microsoft高级流媒体 ...

  8. C#.net读写XML文件

    本文将会涉及3个方面的内容:         1.存取XML文件         2.XML文档对象模型         3.XML和DataSet         这里我们先介绍操作XML文件的两个 ...

  9. ROS Learning-032 (提高篇-010 Launch)Launch 深入研究 --- (启动文件编程)ROS 的 XML语法简介...

    ROS 提高篇 之 Launch 深入研究 - 01 - 启动文件的编程 - ROS 的 XML语法简介 我使用的虚拟机软件:VMware Workstation 11 使用的Ubuntu系统:Ubu ...

  10. Zookeeper详细参数解析zoo.cfg文件

    Zookeeper分布式协调服务,在分布式架构中非常重要.比如我们搭建Kafka集群,或者Hadoop大数据集群.就需要使用到Zookeeper. Zookeeper本意是 动物管理员,动物饲养员. ...

最新文章

  1. 完美解决vue项目中弹出框滑动时,内部页面也跟着滑动问题
  2. android开发超级群(500人)
  3. android源码settings中显示所有正在运行进程流程分析
  4. PHP判断上传文件类型
  5. SAP Spartacus converter执行的上下文
  6. python redis pipeline 堆积_Redis Pipeline python
  7. 视频:网站建设-如何利用网络赚大钱2
  8. java执行内存_java运行时内存
  9. 【UML】概念、关联、画画(一)
  10. [No000023]为何没有更多人从事程序员的工作?程序员常有,优秀程序员不常有!...
  11. win10系统设置插入鼠标禁用触控板的方法
  12. OpenLayers坐标转换
  13. 如何让 select的那个请选择不被选中.获取选中的value值和html
  14. rabbitMQ-server控制台安装报错启动失败,黑窗口一闪即过Applying plugin configuration to rabbit .. failed.
  15. 全景虚拟漫游实现(three.js)
  16. 计算机视觉自学进阶路上不可忽略的几个原创公众号
  17. 使用itext将base64转成图片合并为pdf
  18. 电视不正常Android镜像投屏,乐播投屏
  19. UVA - 489 Hangman Judge 刘汝佳紫书题单(算法竞赛入门经典)
  20. opencv两视频合并

热门文章

  1. 计算机mac地址为空,怎么查看电脑的Mac地址
  2. 计算机为什么获取mac地址,电脑获取mac地址失败怎么办
  3. 数学小故事之 柯西的故事
  4. CentOS 7 忘记root密码重置密码
  5. maven jar坐标和doc引入中央创库没有的jar
  6. 微信小程序聊天功能 WebSocket 实现发送文字,图片,语音以及WebSocket 常见问题解决方案
  7. 2021年烷基化工艺考试题及烷基化工艺多少钱
  8. Vue学习(学习打卡Day16)
  9. 每日一课 | python烤地瓜案例
  10. 微信小程序支付 退款 订单查询 退款查询