文件:

src/marcos/uvm_reg_defines

类:

  该文件是寄存器模型src/reg/* 文件对于的宏文件,主要定义了寄存器地址位宽,寄存器数据位宽,字节的大小。计算机从最初的8,发展到16bit(过度阶段), 到32bit, 目前的主流是64bit(AMD 烧起来64位计算机的这把火)。

//------------------------
// File: Register Defines
//------------------------// Macro: `UVM_REG_ADDR_WIDTH
//
// Maximum address width in bits
//
// Default value is 64. Used to define the <uvm_reg_addr_t> type.
//
`ifndef UVM_REG_ADDR_WIDTH`define UVM_REG_ADDR_WIDTH 64
`endif// Macro: `UVM_REG_DATA_WIDTH
//
// Maximum data width in bits
//
// Default value is 64. Used to define the <uvm_reg_data_t> type.
//
`ifndef UVM_REG_DATA_WIDTH`define UVM_REG_DATA_WIDTH 64
`endif// Macro: `UVM_REG_BYTENABLE_WIDTH
//
// Maximum number of byte enable bits
//
// Default value is one per byte in <`UVM_REG_DATA_WIDTH>.
// Used to define the <uvm_reg_byte_en_t> type.
//
`ifndef UVM_REG_BYTENABLE_WIDTH `define UVM_REG_BYTENABLE_WIDTH ((`UVM_REG_DATA_WIDTH-1)/8+1)
`endif// Macro: `UVM_REG_CVR_WIDTH
//
// Maximum number of bits in a <uvm_reg_cvr_t> coverage model set.
//
// Default value is 32.
//
`ifndef UVM_REG_CVR_WIDTH`define UVM_REG_CVR_WIDTH 32
`endif

问题:

  寄存器模型的覆盖率是什么?

转载于:https://www.cnblogs.com/dpc525/p/8025060.html

uvm_reg_defines——寄存器模型(四)相关推荐

  1. uvm 形式验证_6.小白学uvm验证 - 寄存器模型

    写过 verilog 硬件代码的同学应该都知道 DUT 会包含很多寄存器,它们是模块间交互的接口,其用途大致可以分为两类: a. 通过读出寄存器当前的值获取 DUT 当前的状态,该类寄存器称为状态寄存 ...

  2. UVM实战(张强)-- UVM中的寄存器模型

    目录 一.整体的设计结构图 二.各个组件代码详解 2.1 DUT 2.2 bus_driver 2.3 bus_sequencer 2.4 bus_monitor 2.5 bus_agent 2.6 ...

  3. 寄存器模型 — UVM

    文章目录 一.基本概念 二.寄存器模型的集成 1. 寄存器模型与总线的桥接 2. adapter的实现 3. 寄存器模型rgm和adapter的集成 三.访问寄存器的方式 1. 前门访问 2. 后门访 ...

  4. UVM中的寄存器模型

    寄存器模型简介 1. 通常来说,DUT中会有一组控制端口,通过控制端口,可以配置DUT中的寄存器,DUT可以根据寄存器的值来改变其行为.这组控制端口就是寄存器配置总线. 在没有寄存器模型之前,只能启动 ...

  5. 【寄存器模型】二、前门访问与后门访问

    一.前门访问 前门访问的概念 前门访问就是通过配置总线来对dut内部的寄存器操作. 实现前门访问的方式 1.使用全局变量 2.启动一个sequence对寄存器进行读写,其中如果为读操作,读出来的值要么 ...

  6. 【UVM实战】第七章:UVM中的寄存器模型(2)简单的寄存器模型

    文章目录 7.2.1.只有一个寄存器的寄存器模型 7.2.2.将寄存器模型集成到验证平台中 7.2.3.在验证平台中使用寄存器模型 7.2.1.只有一个寄存器的寄存器模型 本节为7.1.1节所示的DU ...

  7. (数字ic验证)从零开始的apb_watchdog验证模块搭建(二、apb直接发送激励与寄存器模型加入)

    apb_watchdog验证模块搭建(二.apb直接发送激励与寄存器模型加入)文章目录 文章目录 apb_watchdog验证模块搭建(二.apb直接发送激励与寄存器模型加入)文章目录 前言 一.ap ...

  8. 电力电子转战数字IC20220727day57——寄存器模型(续)

    rgm的常规方法 关于reg的三个值 mirrored value镜像值:由模型预测给出,即在前门访问时通过观察总线.在后门访问时通过自动预测等方式给出 desired value期望值:先利用rgm ...

  9. UVM:寄存器模型 构建

    目录 1. 寄存器基本概念 1.1. 寄存器 域 1.2. 寄存器控制 2. 寄存器模型介绍 2.1. 寄存器描述文件的中心化管理 寄存器生成器 2.2. 寄存器模型的生命周期 3. 构建寄存器模型 ...

最新文章

  1. 【计算机视觉征稿】EI会议推荐|计算机多主题征稿,往届已被EI检索,ICCVDM 2021诚邀您投稿参会!...
  2. 【大数据】如何用形象的比喻描述大数据的技术生态?Hadoop、Hive、Spark 之间是什么关系?
  3. c语言运行时更入下一行,C语言高级语言程序设计(一)_第二章 C程序设计基础(二).ppt...
  4. java 仅有类名 构造类_java – 这个设计模式有名字吗? (具有仅调用构造函数的实现的基类)...
  5. 双时隙的工作原理_双联开关的工作原理
  6. Linux !的使用
  7. Fiddler抓包 | 竟然有这些骚操作,太神奇了?
  8. ARM Linux 3.x的设备树
  9. Exchange企业实战技巧(1)验证安装及配置产品密钥
  10. java restful文件传输_Spring Boot 2.0实现基于Restful风格的文件上传与下载APIs
  11. Makedown文件保存问题
  12. java如何将字符串转化为日期_java如何将字符串转为日期
  13. 基于C#的词法分析关键字识别与变色
  14. MIUI系统手机实现WLAN热点桥接
  15. 如何成为一家顶级域名注册商及如何提供域名注册
  16. 共享单车之租赁需求预估
  17. 问题:EO-1 hyperion影像做 MNF 时报错问题解决
  18. 修复 IDEA 使用 Gradle 构建出错时的乱码问题(maven项目转Gradle后乱码,并报“错误,找不到符号”)
  19. 2022-2027年中国大理石板材市场竞争态势及行业投资潜力预测报告
  20. openGL 调用glewInit()失败

热门文章

  1. Android中ButterKnife的详细使用
  2. 使用百度链的智能合约来落地公司业务场景
  3. Json解析工具Jackson(使用注解)
  4. linux云服务器上安装JDK
  5. python设计模式11-享元模式
  6. 《Go语言程序设计》读书笔记(二)函数
  7. 关于Zookeeper的几个问题
  8. Hyper-v副本容量规划器
  9. 05Vue.js快速入门-Vue实例详解与生命周期
  10. 从Spark-Shell到SparkContext的函数调用路径过程分析(源码)