代码:

`timescale 1ns/1ns
module zyl_light(input clk,input rst,output reg[3:0] led_out
);//1s一次,计数50000次1ms,1000ms=1s
reg[15:0] cnt_1ms;
reg[9:0] cnt_1s;
reg flag_ms;
reg flag_s;always@(posedge clk or negedge rst)beginif(~rst)beginflag_ms<=0;cnt_1ms<=0;endelse if(cnt_1ms==16'd49999)beginflag_ms<=0;cnt_1ms<=0;endelse begincnt_1ms<=cnt_1ms+1;if(cnt_1ms==16'd49998)flag_ms<=1;elseflag_ms<=0;endendalways@(posedge clk or negedge rst)beginif(~rst)beginflag_s<=0;cnt_1s<=0;endelse if(flag_ms==1)beginif(cnt_1s==10'd999)beginflag_s<=0;cnt_1s<=0;end else begincnt_1s<=cnt_1s+1;if(cnt_1s==10'd998)flag_s<=1;elseflag_s<=0;endendelseflag_s<=0;
endalways@(posedge clk or negedge rst)beginif(~rst)led_out<=4'b1110;else if(flag_s==1)beginif(led_out==4'b1111)led_out<=4'b1110;elseled_out<=~((~led_out)<<1);endelseled_out<=led_out;
endendmodule
//---------------------------module zyl_2022_10_14_01;reg clk,rst;
wire[3:0] led_out;zyl_light zyl_light_tb(.clk(clk),.rst(rst),.led_out(led_out)
);initial beginclk<=0;rst<=0;#20 rst<=1;
endalways #10 clk<=~clk;endmodule

运行结果:
1110(14)—> 1101(13) —> 1011(11) —> 0111(7) —> 1111(15) —> 1110(14)…

FPGA流水灯(间隔1S)相关推荐

  1. FPGA流水灯和跑马灯

    FPGA流水灯和跑马灯 流水灯和跑马灯的区别: 流水灯具体实现: 跑马灯具体实现: 流水灯和跑马灯的区别: 流水灯顾名思义就是让 LED 如同流水一般,从无灯亮,到亮一个,再到亮二个,以此类推,亮 3 ...

  2. 第八周---FPGA流水灯显示和串口循环输出实验

    文章目录 前言 1.流水灯显示 2.串口循环输出"Hello Nios-II"字符串到笔记本电脑 前言 学习 Quartus-II .Platform Designer.Nios- ...

  3. FPGA XILINX SPARTAN6 ISE14.7 LED流水灯实验

    文章目录 前言 1 ISE安装调试遇到的问题 1.1 关于ISE的license无法导入的问题解决方法 1.2 解决Xilinx_ISE 14.7在Win10下选择"open project ...

  4. 51单片机制作花式流水灯的三种方式总结与仿真运行

    制作流水灯,一共有三种方式,分别是左右移位,延时,与定时器(计数器)方式 其中移位方式较为简便,常与简单的延时一同使用在各类工程中.除此之外,也可以直接操作寄存器改变灯的亮度. 延时方式通过改变占空比 ...

  5. C语言设计流水灯程序,花式流水灯c语言程序.pdf

    /*************************************************************/ /* [类型]流水灯各种用法 */ /* [现象]流水灯按各种不同样式闪 ...

  6. 米联客 ZYNQ/SOC精品教程 S01-CH04 VIVADO创建工程之流水灯

    软件版本:VIVADO2017.4 操作系统:WIN10 64bit 硬件平台:适用米联客 ZYNQ系列开发板 米联客(MSXBO)论坛:www.osrc.cn答疑解惑专栏开通,欢迎大家给我提问!! ...

  7. FPGA | 按键、拨码开关控制流水灯实验(附完整代码)

    FPGA 课程设计,果断选择最简单的花式点灯 实验要求 一.设计一个8路流水灯控制电路 二.有多种变化方式: 1)自左向右逐次点亮,或自右向左逐次点亮,到终点后在从头开始: 2)自左向右逐次熄灭,或自 ...

  8. 学fpga(流水灯)

    [ 声明:版权所有,欢迎转载,请勿用于商业用途. 联系信箱:feixiaoxing @163.com] 从学习方法上说,fpga的学习方面和linux c开发有点相似. fpga linux 语言 v ...

  9. FPGA极易入门教程----LED篇(1)跑马灯(流水灯)跑起来

    1.LED的基本原理 LED,又名发光二极管.LED灯工作电流很小(有的仅零点几毫安即可发光),抗冲击和抗震性能好,可靠性高,寿命长.由于这些优点,LED灯被广泛用在仪器仪表中作指示灯.液晶屏背光源等 ...

最新文章

  1. R语言replace函数数值替换实战
  2. K-Means算法理论及Python实现
  3. boost::geometry::densify用法的测试程序
  4. mysql 上一条_mysql选择上一条、下一条数据记录
  5. 调用布尔变量java_关于java的参数的调用,还有布尔的理解,这有一段代码,我有些不太理解,希望能够帮我分析下,谢谢...
  6. 【JQuery】初始化页面当异步加载时构建页面元素的顺序
  7. string.Empty 和 并不总是可以互换的
  8. hive复合数据类型之array
  9. 1040. Longest Symmetric String (25)-PAT甲级真题
  10. Apache阶段二-
  11. 已支持macOS Big Sur 的apple App更新列表
  12. python基础之pip、.pyc、三元运算、进制、一切皆对象、可变与不可变类型
  13. 如何防止editor中出现遮行的现象
  14. 实现导出excel,pdf功能
  15. go sync.once用法
  16. texstudio如何安装cjk宏包_Latex+CJK从安装到使用【1】
  17. 利用cmd命令创建vue项目
  18. 2022年12月编程语言排行榜公布!
  19. 脚本语言有哪些?各自有哪些优劣呢?
  20. 51nod-2534 最小旅行路线

热门文章

  1. 【短道速滑六】古老的视频去噪算法(FLT_GradualNoise)解析并优化,可实现1920*1080 YUV数据400fps的处理能力。...
  2. 英汉翻译对照之视频声频媒体处理
  3. 资产负债表java_2.资产负债表的基本要素有( )。
  4. 老闪创业那些事儿(外传)——教人与用人的撕裂
  5. 解决微信小程序自定义tabbar跳转页面图标闪动问题
  6. fooview辅助功能 shell_fooView — 安卓最强大的悬浮球应用
  7. 使用Struts2 开发一个简易的《B2C电子商务网站》 。续集(适合新手)
  8. TensorFlow1.x最佳实践:Dataset API+Keras Model+TF Train
  9. B/S三层架构[转载]
  10. C#语言操作Win7系统任务栏(TaskBar)中程序图标的背景进度条