FPGA - Vivado - 光纤IP核的使用

Vivado 的IP核一共分为两种, 一种是64B66B编码的,还有一种是8B10B编码的.
具体的区别感兴趣的小伙伴可以自己去查一下哈,64B66B的无法自己制定数据位宽的,8B10B是可以制定两种不同的位宽.(其实也没啥用)


我们使用的是IP核自己生成的示例代码进行光纤回环的操作哈.

这种东西较为复杂没有必要去自己写,了解其中原理拿来直接用即可,反正资源摆在那里不用白不用.

一、IP核的创建

可以看到哈是两个IP核,我们选择8B10B.

Lane Width (Bytes)  : 选择位宽(数据位宽)

Line Rate (Gbps): 设置光纤的速度单位是G

GT Refclk (MHz): 参考时钟和开发板有关系的,开发板多少就选多少.

INIT clk (MHz) : 初始化的时钟

DRP Clk (in MHz) : DRP时钟

Dataflow Mode : 数据流模式.

Interface : 数据传输的用户接口协议选择.

Little Endian Support : 数据保存在高位还是地位(勾上保存地位)

Lanes : 光纤口的通道个数.

其他默认即可

inclde Shared Logic in core : 不使用示例工程文件.

include Shared Logic in example design : 使用示例工程文件.

IP核建立完成 !

二、使用IP核示例代码

1.建立示例代码

建立完成后的样子 : 

代码工程分析 :

   aurora_8b10b_0_exdes : 顶层文件 . 

   aurora_module_i    :  支持模块,如无特殊需求不需要修改.

   clock_module_i  :  将aurora的参考时钟,分频生成用户端需要使用的时钟.

   suppor_reset_logic_i : 复位逻辑模块.

   gt_common_support : 各个时钟处理,就是个pll.

   traffic.frame_gen_ll_to_axi_pdu_i : 将用户输入输出的数据调整到AXI接口的数据(数据格式调整).

   traffic.frame_gen_i  :  AXI的数据产生模块,产生随机数据. (修改非常方便)

   traffic.frame_chk_axi_to_ll_pdu_i :  将AXI收到的接口数据,转换为用户可识别的数据. (无特殊需求不要修改)

  traffic.frame_check_i  :  错误识别模块,对错误的数据进行计数.(抓取信号的时候能看见错多少数据)

信号抓取 :

只要出数据就代表没错,一般示例文件都是官方调试完整的拿来直接用即可.


总结

Aurora 传输格式是 地位在前高位在后 !

FPGA 光纤传输IP核的使用相关推荐

  1. FPGA开源IP核下载opencores.org

    原贴 http://bbs.elecfans.com/m/jishu_384213_1_1.html [问答] opencores这个网站的使用常识 2013-9-28 22:42 关于http:// ...

  2. PLL锁相环原理以及Altera FPGA的IP核实现

    文章目录 前言 一.PLL锁相环功能核原理 1. 锁相环功能 2. 锁相环原理[1] 二.Altera的FPGA调用IP核实现 后续补充 总结 参考文献 前言 本文主要介绍了锁相环的原理,以及适用Al ...

  3. FPGA的ip核之概念和分类

    ip核之概念和分类 IP(Intellectual Property)内核模块是一种预先设计好的甚至已经过验证的具有某种确定功能的集成电路.器件或部件.它有几种不同形式.IP内核模块有行为(behav ...

  4. 【FPGA】: ip核——Fir滤波器

    文章目录 一.简介 二. ip核的端口说明 三. ip核的生成 四. 典型示例 五.结果展示 六. 参考资料 一.简介 FIR 滤波器之内插 FIR 滤波器Interpolated FIR Filte ...

  5. 【FPGA基础】关于FPGA的IP核概念

    IP核介绍 IP(Intelligent Property)核是具有知识产权核的集成电路芯核总称,是经过反复验证过的.具有特定功能的宏模块,与芯片制造工艺无关,可以移植到不同的半导体工艺中. IP核模 ...

  6. 基于FPGA的IP核RAM的设计和调用

    介绍IP核: IP(知识产权)核将一些在数字电路中常用但比较复杂的功能块,如FIR滤波器,SDRAM控制器,PCI接口等做成一个"黑盒"或者可修改参数的模块,供设计者使用.IP核包 ...

  7. FPGA之IP核的使用、搞懂功能仿真和时序仿真

    因为我也是初学者,我把自己学习中的一个过程给写下来,希望对他人能有一点帮助.相信很多人一开始和我一样对功能仿真和时序仿真(后仿真)都模模糊糊,其实功能仿真是为了验证你的代码是否正确,而时序仿真则是添加 ...

  8. 【FPGA】IP核概述

    目录 一.IP核是什么 二.为什么要使用IP核 三.IP核的存在形式(分类) 四.IP核的缺点 五.Quartus II软件下IP核的调用 1.调用方式 2.实际操作 一.IP核是什么 二.为什么要使 ...

  9. FPGA NVME IP 核 纯逻辑实现NVME协议,读写SSD

    随着存储速度需求越来越大,SATA的读写速度很多场景就有点吃力了,基于PCIE协议的NVME协议慢慢成为主流厂商的首选,FPGA实现NVME协议是比较复杂的,本文主要基于xilinx的方案,前面说了N ...

  10. FPGA之道(14)IP核介绍

    前言 IP核是FPGA开发者的老朋友了,可以这么说,只要是做FPGA开发的,都会利用FPGA的IP核进行开发设计,这不像IC设计,什么都要自己设计,使用IP可以加快产生开发进程. 下面摘自<FP ...

最新文章

  1. How I Hacked 40 Websites in 7 minutes
  2. 普通用户Mysql 5.6.13 主从,主主及nagios的mysql slave监控
  3. redis 保存 array list 区别_Redis科普篇
  4. [转帖]Linux修改时区
  5. 产品经理怎么做可行性分析?
  6. c语言printf清屏,C语言入门 — printf 使用方法
  7. vue-cli的webpack模板项目配置文件说明
  8. java.util报错
  9. win7计算机用户配置文件存储路径,Win7用户配置文件夹位置怎么修改?
  10. Mac python3.5 + Selenium 开发环境配置
  11. matlab讨厌python_MATLAB在逐渐被Python淘汰吗?
  12. 【AvalonDock】布局控件使用教程
  13. 五个最佳FTP客户端工具
  14. 面试自我介绍3分钟通用计算机专业,面试自我介绍3分钟
  15. # cs231n (三)优化问题及方法
  16. netflix为什么叫网飞_没有商业中断:为什么世界杯比Netflix时代的NFL感觉更现代...
  17. matlab程序 地震 相干噪声_地震资料读写相干噪声的消除
  18. JZOJ8.14(C组)帕秋莉·诺雷姬
  19. TP框架下设置静态资源缓存
  20. 【Java第34期】:Bean的六种作用域

热门文章

  1. SpringCloud面试题及答案(2021年SpringCloud面试题大全带答案)
  2. 中山大学2014年数学分析考研试题
  3. 面试题:你印象最深刻的两个bug是什么,你是怎么解决的?
  4. js怎么实现那种整体页面变灰色
  5. 不到一个月用业余时间撸了个App
  6. 使用 OpenSSL 创建ssl自签名证书
  7. linux 命令行 过滤,linux过滤命令
  8. OpenWrt 无线桥接
  9. 网络流量分析工具六大必备功能
  10. 实战 | 电感元件定位--Halcon与OpenCV实现详解(附源码)