电设校赛常用电路整理

  • 写在前面
  • 引用与致谢
  • 单电源供电集成运放
  • 电压比较器
    • 单限比较器
      • 过零比较器
      • 改进:限制幅度和设置偏置
    • 滞回比较器
    • 窗口比较器
  • 波形发生与变换
    • 正弦波
      • RC正弦振荡电路
      • LC正弦振荡电路
    • 方波
    • 三角波
    • 锯齿波
    • 尖顶波
    • 阶梯波
  • 场效应管放大电路
    • 场效应管工作原理
    • 共源放大电路
    • 共栅放大电路
    • 共漏放大电路
    • 场效应管电路应用
  • 三极管放大电路
    • 共基放大电路
    • 共射放大电路
    • 共集放大电路
    • 三极管电路应用
  • 运算电路
    • 同相比例
      • 运放实现同相比例
      • 电压跟随器
      • 三极管实现同相比例
      • 场效应管实现同相比例
    • 反相比例
      • 运放实现反相比例
      • T形反馈网络改进
      • 三极管实现反相比例
      • 场效应管实现反相比例
    • 积分
    • 微分
      • 微分电路改进
      • PID调节器
    • 加法
      • 反相求和
      • 同相求和
    • 减法
      • 差分放大电路
    • 对数
    • 乘法
    • 除法
  • 整流电路
    • 半波整流电路
    • 全波整流电路
    • 桥式整流电路
      • 二极管的串并联
    • T型单运放全波整流
    • △型单运放全波整流
  • 滤波电路
    • 无源滤波电路
      • 无源低通滤波电路
      • 无源高通滤波电路
      • 无源带通滤波电路
      • 无源带阻滤波电路
    • 有源滤波电路
      • 有源低通滤波电路
      • 有源高通滤波电路
      • 有源带通滤波电路
      • 有源带阻滤波电路
  • 倍频分频

写在前面

本文是为参加北京理工大学2021年全国大学生电子设计竞赛校内选拔赛所整理的,主要内容为往年校赛和综合测评中常用的电路。这篇博客是与BCY、LWQ两位同学合作完成的,我们希望本文在帮助自己梳理知识网络之余,也能够帮助到未来参加电赛的同学。因为时间紧张,所以覆盖难免缺漏,之后大概率会持续更新。

引用与致谢

在“波形发生与变换”、“运算电路”、“电压比较器”部分大量参考了ID为“二进制 人工智能”的博主,在此表示感谢并附上相应博客链接:运算电路、正弦波振荡电路、非正弦波振荡电路、电压比较器。
在“整流电路”部分,大量参考了ID为“坚持就有希望”的博主,在此表示感谢并附上相应博客链接:二极管整流电路原理。
此外,本文还大量参考了北京理工大学张雄奎老师的模拟电路PPT,在此表示感谢。

单电源供电集成运放

我们通常使用的双电源集成运放有1个Vcc端和1个-Vcc端,+Vcc端接+Vcc电压,-Vcc端接-Vcc电压,输入输出电压的电位参考点为其公共端C,即零电位处。而在单电源运放中,我们让-Vcc端接地,C点悬空,实际电位参考点为Vcc/2。由于电位基准发生了变化,因此集成运放允许工作的条件也将相应改变。举例来说,假设±12V双电源供电时集成运放共模输入电压范围为-10到7V,输出电压范围为-11到+11V;当用24V单电源供电时,共模输入电压范围变为2到19V,输出电压范围为1~23V,相当于抬高了整个运放的工作电压。因此,我们需要为集成运放输入电压提供合适的直流电压偏置,使输入端的电位进入共模输入电压范围内,从而保证集成运放的正常工作。

最简单的设置偏置的方法就是,在原来应该接地的地方接上Vcc/2的电压(如用直接连接电源或用两个等值电阻分Vcc的压等方式),将输入端电位抬高到Vcc/2,注意电容在交流耦合电路中的隔直应用。

电压比较器

比较器的输入电压是连续的模拟信号,输出电压表示比较的结果,只有高电平UOH和低电平UOL两种情况,阈值电压UT指使输出电压产生跃变的输入电压。

单限比较器

过零比较器

单限比较器只有1个阈值电压,输入电压从低到高或从高到低的变化过程中,输出电压只能跃变1次。提到单门限电压,我们很自然地会联想起集成运放的特性,当集成运放工作在非线性区时,不满足“虚短”条件,输出电压只能为+Vcc或-Vcc,且集成运放的线性区非常狭窄,这是天然的比较器。


最简单的比较器即过零比较器如上面两张图图所示,直接比较输入电压与地的高低。当输入电压在正相输入端时,跃变方向与输入电压变化方向一致;当输入电压在反相输入端时,跃变方向与输入电压变化方向相反。这也是生成方波的一种方式。
我们很自然地会想到,将过零比较器的地进行偏置,就可以得到阈值电压为任意值的单限比较器;将过零比较器的输出电压用耳机管限幅,就可以改变UOH和UOL

改进:限制幅度和设置偏置

集成运放的差模电压太大时,管子会发生击穿现象,为了避免这个问题,我们通常在输入端家限幅电路,是的集成运放的净输入电压绝对值为UD

我们也可以利用齐纳二极管将输出电压大小限制在导通电压+击穿电压以改变UOL和UOH的值,下图中任意情况下均有一个二极管正向导通,另一个二极管反向击穿。输出电阻R是为了限流,防止稳压管从电击穿变为热击穿。

我们还可以通过设置偏置来挪动阈值电压点。


我们还可以综合利用以上特点。

滞回比较器

又名迟滞比较器、施密特触发器,有2个阈值电压,具有滞回特性。输入电压的变化方向不同时,阈值电压也不同,但输入电压单一方向变化时,输出电压滞跃变1次。


若要电压传输特性上下移动,则应该改变输出限幅电路。

若要电压传输特性曲线左右移动,应该设置偏置改变阈值电流。

若要改变输入电压过阈值电压时输出电压的跃变方向,则应该改变输入端的相位。

窗口比较器

有2个阈值电压,输入电压单一方向变化时,输出电压跃变2次。

波形发生与变换

正弦波

正弦波是信号发生中最基础的波形,通常通过RC振荡电路和LC振荡电路来生成。
正弦波自激振荡电路通常由几部分组成:
① 放大电路:用A表示,将信号放大。
② 选频网络:用β表示,β是一个与频率f有关的函数,选频网络选择特定频率的振荡并将其放大。
③ 正反馈:使输出幅值持续增大直到达到平衡。
④ 稳幅环节:使输出幅值不会无限增大,往往通过三极管、场效应管等非线性元件的特性和供电电源的限制实现。
整个电路的功能,简而言之就是选择某一频率的波形,并将其放大至平衡状态。因此,A和F需要满足一定的条件:
① 稳定前|Aβ|>1,稳定后|Aβ|=1,即放大电路和选频网络的放大倍数乘积的绝对值应先大于1,而后等于1。
② A和β的相位相加为2π的整数倍,否则无法生成正弦波。

RC正弦振荡电路


RC正弦振荡电路,又名文氏桥电路,是最常用的正弦波发生电路。上框中是一个由集成运放构成的同相放大器,下框为RC选频网络。

利用电容的阻抗为1/jwC,可以算出β的表达式,是一个如下图所示的曲线。因为正相比例放大电路无相位偏移,因此要使放大电路和选频网络的相位之和为2π,则选频网络的相位必须是0,即频率为f0=1/2πRC,此时选频网络的放大幅度在最大值,为1/3。幅值稳定时,放大电路的放大倍数则应为3。

如果使用共射放大电路,则输入输出反相,不符合相位条件;如果使用共集放大电路,则放大倍数小于1,不符合幅值条件;如果使用共基放大电路,则虽然符合相位和幅值条件,但输入电阻小,输出电阻大,影响f0。我们希望输入电阻趋于无穷大,输出电阻趋于0,这样输出电压能尽量保持稳定,减小后级电路的影响。因此,我们常选用集成运放构成的同相比例电路作为放大部分。当然,也可以用其它合适的电路替代同相比例电路,如下图所示。

LC正弦振荡电路


这个电路我们同样非常熟悉,右上角为LC振荡电路,起到选频作用,其余部分为三极管共射放大器。




并联电路的阻抗和频幅特性、相幅特性曲线如上图所示,易得当虚数项为0时,才能满足自己振荡电路的相位条件,且此时选频网络的放大倍数幅值最大。据此可求出选频网络的工作频率和品质因素。

方波

方波也是较为基础的波形,可以积分得到三角波,微分得到尖顶波,加到计数器得到阶梯波。方波的获得方式有很多种:如果我们有一个正弦波,可以通过比较器获得方波;如果有一个三角波,可以通过微分运算获得方波;如果有555等芯片,也可以直接产生方波;如果有单片机,可以用单片机生成方波(但这就不在模电的考虑范围之内了)。

这个方波生成器由RC串联振荡电路和滞回比较器组成。滞回比较器只有±UZ2个状态,当uN上升直至大于UOH(即此时uP的电压)时,uO跃变为-UZ;当uN下降直至小于UOL(即此时uP的电压)时,uO跃变为+UZ

假设0时刻uO为+UZ,电容上的电压uC为0,则电容充电uC上升,此时输出电压保持为+UZ
电容电压持续上升,直到uC达到uP时,uO跃变为-UZ

uO跃变为-UZ后,电容电压高于输出电压,电容先放电再被反向充电,uC持续降低,在此过程中uO保持为-UZ

当uC下降至与此时的uP相同时,uO跃变为+UZ。此过程不断循环,电容反复充放电,uO反复在±UZ间跃变,输出方波。

显然,方波的周期就是电容充放电的周期,我们可以利用下式求得。

三角波

三角波通常由方波经积分电路运算得到,下面两张图中左边为方波发生器(滞回比较器),右图为积分电路。

在原来的反相输入的滞回比较器中,当uO1为+UZ时,uN1是一个正向充电的过程,电位增大,反向积分输出则是降低的(自激振荡电路需要反馈),如果按原样把输出接到uN1,两者将产生矛盾,所以改为同相输入。

上图的三角波发生电路振荡原理为:合闸通电时,通常C上电压为0,设uO1上升,则uP1上升,因此uo1继续上升,直至uO1=UZ(第一暂态);积分电路反向积分,uO下降,一旦uO过-UT,uO1从+UZ跃变到-UZ(第二暂态)。积分电路正向积分,uO上升,一旦uO过+UT,uO1从-UZ跃变为+UZ,返回第一暂态。重复上述过程,产生周期性变化,即振荡。

锯齿波

尖顶波

阶梯波

场效应管放大电路

场效应管工作原理

场效应管FET有3个端口,分别为栅极、源极和漏极。场效应管的本质就是在vGS超过阈值电压vTN时,能够通过vGS和vDS来控制通过源极和漏极的电流iD。场效应管分为加强型和耗尽型,每种类型又分n沟道和p沟道,但是工作原理非常类似。
场效应管有3种工作状态,分别为未饱和区、饱和区和截止区。MOSFET必须工作在饱和区,输出信号只能加载在栅极和源极上,输出信号只能接在源极或漏极,因为栅极没有电流,不能传输信号。下图是n沟道加强型FET的工作区。

下图是p沟道加强型FET的工作区。p沟道与n沟道的主要区别在于电压方向相反,GS变为SG,DS变为SD,大于变为小于,加号变为减号(或者理解为套用n沟道的公式,但阈值电压为负值)。

n沟道箭头从源极流出,p沟道从源极流入。部分常用符号如下图所示。

耗尽型FET的公式与加强型一致,但阈值电压的方向相反。下图是加强型和耗尽型的n沟道和p沟道的工作区电流计算。

计算时先直流分析求出静态工作点,再进行交流分析,最后再计算交流电压增益和输入输出电阻。交流小信号分析时,应视电容为通路,电源为短路或接地,等效电路如下图所示。注意,计算输入输出电阻时,输入电阻不包括电源内阻,输出电阻不包括负载电阻。





共源、共栅、共漏放大电路的电压增益、电流增益、输入电阻、输出电阻具有不同的特性,我们需要根据需要的特性来合理选择放大电路类型。

直交流分析时,一般需遵守以下规则。

共源放大电路



共栅放大电路

共漏放大电路

共漏放大电路,又称源极跟随器。

场效应管电路应用

FET放大电路有很多用途,比如反相器、NOR逻辑门、电流源和多级放大电路。




三极管放大电路

如果说场效应管通过电压控制电流,三极管则通过电流来控制电流。三极管BJT也有3个端口,分别为基极、集电极和发射极。三极管的实质是以基极电流微小的变化量来控制集电极电流较大的变化量。三极管也有3种工作状态,分别为放大区、饱和区和截止区。三极管通常工作在放大区。正常工作时,基极和发射极之间的电压为VBE(on),VCE通常由电路其它部分计算得出。


BJT放大电路的交流小信号模型及其计算如下图所示。

考虑到输出电阻rO时,等效电路如下图所示。

示例分析如下。

三极管放大器可以用来放大电压或者电流。

共基、共集、共射放大电路的电压增益、电流增益、输入电阻、输出电阻具有不同的特性,我们需要根据需要的特性来合理选择放大电路类型。

共基放大电路




共射放大电路





共集放大电路






三极管电路应用

一个典型 BJT基极输入放大电路及其计算如下图所示。

它还可以用作电流源和多级放大电路。

运算电路

除放大与反向电路可以通过三极管和场效应管实现以外,运算电路大部分是通过集成运放实现的。集成运放具有以下几个主要的参数特点:
①在线性工作区内,输出电压u0=Aod(uP-uN),Aod为增益,uP与uN分别为正向输入端与反向输入端的输出电压。
②理想运放的增益和输入电压均为无穷大,即具有“虚断”的特点,正向与反向输入端应视为无电流流入。
③为了让集成运放工作在线性区,一般需要引入电压负反馈。只有在引入负反馈网络时,运放才具有“虚短”的特点,即此时正向与反向输入端电压应视为相同。
“虚短”与“虚断”,是负反馈理想集成运放电路分析中的基本出发点。在此基础上列KCL/KVL方程即可。

同相比例

运放实现同相比例


电压跟随器

电压跟随器可以算是同相比例运算电路中的一个特例,注意左图为实际电路,右图为考虑“虚断”时的等效电路,电阻的存在是为了保护电路。

三极管实现同相比例

见三极管部分。

场效应管实现同相比例

见场效应管部分。

反相比例

运放实现反相比例


T形反馈网络改进

如果输入电阻和放大系数都比较大,则需要的Rf很大,会造成较大的噪声,此时可用T形反馈网络来改进。

三极管实现反相比例

见三极管部分。

场效应管实现反相比例

见场效应管部分。

积分

积分运算电路和微分运算电路都是通过电容实现的,但电容的位置不同。



注意本电路中积分是反向的,且输出电压除与输入电压的持续变化有关外,还与初始输出电压有关。

积分电路最常用的场合即为波形变换电路,对方波积分可得到三角波,对正弦波积分可得到相位平移的正弦波。左图中的延时功能是通过积分电路与比较器共同实现的。

微分



与积分电路相比,微分电路将电阻与电容的位置互换了,实际也就是调换了输入电压和输出电压在等式中的地位。

微分电路改进


为了克服集成运放的阻塞现象(运放由于某种原因进入非线性区而不能自动恢复的现象)和自激振荡,使用电路应采取措施:加入一个电阻限制通过电容的电流,用弥勒补偿减小自激振荡;为避免输入幅度无限制增大,加入两个稳压管,使得输出电压为正负(击穿电压+导通电压)。

PID调节器

虽然大概率用不到,但本PID忠实爱好者看到很亲切,所以一并放进来。

加法

反相求和



反相求和电路可看做是反相比例电路的变体,其中输入电压部分替换为并联的3个输入电压与电阻,可实现多路输入信号按不同比例求和的运算。

同相求和


同理,同相求和电路可看做同相比例电路的变体。

减法

反相求和电路的反相输入端输入ui,正相输入端接地,为输入电压乘上了负系数;同相求和电路的正相输入端输入ui,反相输入端接地,为输入电压乘上了正系数。如果能够以某种方式结合两种电路,就可以实现减法。而我们都知道叠加原理可用于计算此类电路,因此,可以根据叠加原理反向推理出减法电路的结构。通过这样的加减电路结构,我们可以实现任意输入电压的任意系数的线性组合。


差分放大电路

如果为减法电路设置绝对值相同的正系数,被放大的部分就是两输入电压之差,可构成差分放大电路。

对数

乘法

除法

整流电路

半波整流电路

半波整流电路很容易想到,也非常好理解,利用二极管的单向导通性实现。输出电压的最大值即等于输入电压E2的最大值(忽略二极管上压降的情况下)。

全波整流电路

我们很自然地会想到,如果能够合理组合2个半波整流电路,就可以实现全波整流,事实上也确实是可行的,但在全波整流时,输出电压的峰值为输入电压的一半。图中电压上高下低时,D1导通,D2截止,输出电压为E2a;电压上低下高时,D1截止,D2导通,输出电压为-E2b(E2b为负数)。

桥式整流电路

桥式整流电路可视为对全波整流电路的改良版本:
① 全波整流电路需要变压器/电源有一个使两端对称的次级中心抽头,这给制作上带来很多麻烦,通过桥式整流电路可以去掉这个抽头。
② 全波整流时,截止的二极管需要承受次级电压(有效接入)的两倍压降,而桥式整流只需要承受有效接入的电压。

当E2在正半周时(上正下负),D1和D3导通,D2和D4截止,R上的电压等于E2(忽略二极管上的压降);当E2在负半周时,D2和D4导通,D1和D3截止,R上的电压等于-E2(R上的电压依然是上正下负)。

二极管的串并联

选择二极管作为整流元件,需要注意其各项参数,根据不同的整流方式和负载大小选型。在高电压或者高电流的情况下,可以考虑将二极管串联或并联起来使用。

并联分流,适用于电流过大的情况。理想情况下,n只二极管各分担总电流的n分之一。但实际运用时,由于二极管特性不完全一致,无法均分通过的电流,可能使有点二极管负担过重烧毁。因此,我们需要在每个二极管上串联一只阻值相同的小电阻,使通过各并联二极管的电流接近一致。这样的电阻往往只有零点几欧~几十欧,电流越大,R应选得越小。

串联分压,适用于电压过大的情况。理想情况下,n只二极管各分担总电压的n分之一。但实际运用时,由于二极管的反向电阻不完全一致,无法均分分担的电压,内阻较大的二极管有可能因负担过重击穿。因此,我们需要在每个二极管上并联一只阻值相同的小电阻,使得每个二极管上的压降接近一致。显然均压电阻的阻值应该远小于二极管的反向电阻值。

T型单运放全波整流

△型单运放全波整流

滤波电路

无源滤波电路

无源低通滤波电路





无源高通滤波电路


无源带通滤波电路


无源带阻滤波电路

有源滤波电路

有源低通滤波电路




有源高通滤波电路


有源带通滤波电路

有源带阻滤波电路

倍频分频

【电赛】电设校赛常用电路整理相关推荐

  1. HDU-6599 I Love Palindrome String 杭电第二次多校赛(Manacher+回文自动机)

    HDU-6599 I Love Palindrome String 杭电第二次多校赛(Manacher+回文自动机) 我的博客:https://acmerszq.cn 原题链接:http://acm. ...

  2. 无名岛外贸电商 远程操作linux网站常用命令,整理收集 Linux SSH命令 (

    远程SCP上传文件 scp -P 端口号 文件名 远程帐号@IP地址:待上传的文件地址拷贝远程文件到本地 scp -P 端口号 远程帐号@IP地址:待上传的文件地址 本地存放地址 rm -rf myd ...

  3. 西交2021校赛 J题校赛质量

    彩彩在视频网站上拥有N个粉丝,并且发布了M个视频,编号为0,1,-,M-1 .粉丝会为编号连续的视频点赞,其中第 i 个粉丝选择为 (表示大于等于 并且严格小于 的整数范围)的视频点赞.该网站对视频质 ...

  4. TL431常用电路整理

    熟悉电路制作的人大多对TL431并不陌生.由于TL431的动态抗阻的特性,其经常在电路设计当中被用于替代稳压二极管.不仅如此,TL431的开态响应速度快输出噪音低,并且价格低廉.因此受到电源工程师和初 ...

  5. 2019xupt-acm校赛 题解 ( F.猜球球 ) by出题组tongtong

    重现赛链接 2019 ACM ICPC Xi'an University of Posts & Telecommunications School Contest 前面的话 有幸参与2019X ...

  6. 电赛校赛-三相逆变电源设计(模拟部分)

    写在前面 因为疫情问题,我们学校的这次电赛的校赛只能线上进行了,我是负责测量部分,所以其实压力相对小一点,为了统一评分,只能使用proteus8.6,我也是无奈,又捡起来了很多年不用的C51 OR C ...

  7. 2022杭电多校赛第八场

    2022杭电多校赛第八场 文章目录 2022杭电多校赛第八场 1004.Quel'Thalas 1001.Theramore 1011.Stormwind 1008.Orgrimmar 1005.Ir ...

  8. 2023年电赛国赛仪器仪表类赛题竞赛事项和方法

    2023年电赛国赛仪器仪表类赛题竞赛事项和方法 前言: 2023年题目应当与往年差异不大,无非是仪器类.电源类.控制类.通信类等几大块.但近几年随着科学技术的发展,电赛也添加了许多的新元素,比如互联网 ...

  9. 2023年电赛国赛仪器仪表类赛题分析和预测

    2023年电赛国赛仪器仪表类赛题分析和预测 前言: 2023年题目应当与往年差异不大,无非是仪器类.电源类.控制类.通信类等几大类.但近几年随着科学技术的发展,电赛也添加了许多的新元素,比如互联网+. ...

最新文章

  1. QT. 学习之路 一
  2. 机器学习:Regression,第一个简单的示例,多项式回归
  3. 深入理解SQL注入绕过WAF与过滤机制
  4. 瑞萨电子推出R-Car Gen3e CPU速度提升达20%
  5. Linux下命令行导入sql文件
  6. voc2007,voc2012数据集快速下载方法
  7. Matlab如何提取fig文件中的数据
  8. 1484: 青蛙(四)
  9. 小猿圈python之python期末考试测试题(二)_小猿圈Python开发面试题(一)
  10. JVM G1GC参数配置
  11. 物联网硬件安全与整改梳理(1)
  12. A Personality traits and job candidate screening via analyzing facial videos 阅读笔记
  13. 网络安全——数据链路层安全协议
  14. Oracle Linux 6.4(BOND)双网卡绑定实战—附加说明
  15. AlphaGo与蒙特卡罗树搜索
  16. 红外线遥控旋转LED钟_QQ电子网--电子行业资讯|电子基础知识|电子视频教程|电子技术教... (转载)
  17. 智慧景区管理综合解决方案
  18. 第二阶段 PHP代码审计之代码执行
  19. 揭秘你代理商做不起来货卖不出去的原因,探讨其背后的商业逻辑
  20. 企业服务器维护与管理,如何“规范“管理企业license服务器?减少企业管理成本!...

热门文章

  1. PYTHON爬虫爬取豆瓣电影的一周口碑电影排行榜
  2. 测试台式计算机测试是否联通笔记本电脑,测试台式计算机测试(192.168.1.11)是否联通笔记本电脑(192.168.1.12),表示联通了的截图是 。...
  3. python一维数组和二维数组,Python numpy实现二维数组和一维数组拼接的方法
  4. OpenCms JSP 模板开发——创建一个简单的JSP模板
  5. python代码编写
  6. python求峰面积_气相色谱的峰面积计算
  7. 『GoLang』协程与通道
  8. 企业微信机器人自动消息发送webhook接入代码
  9. 教你疾速掌握DB2数据库中的相干饬令-4
  10. VLC播放器在Ubuntu-18.04上的安装