最近遇到一个问题,就是在使用FPGA上的一对差分时钟的时钟,总是提示这样的错误:

[Place 30-172] Sub-optimal placement for a clock-capable IO pin and PLL pair. If this sub optimal condition is acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint in the .xdc file to demote this message to a WARNING. However, the use of this override is highly discouraged. These examples can be used directly in the .xdc file to override this clock rule.
< set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets i_pl/i_pll/i_mea_lx_pll_wrp/iclk2_ibufg] >

当然是类似这样的error,很疑惑,这对时钟我正常使用,为什么就出现问题了呢?

查看电路图:

如上图,AD1_ADR以及AD1_BDR都是时钟引脚,外部时钟从这个引脚上进入FPGA,之后FPGA设计中使用其中的一对时钟。

可以看出,上面的这两队时钟信号,对应到FPGA的引脚不是时钟专用引脚,而是普通引脚,这就是问题的根源,我们一般在电路图的设计中,总要将时钟接到FPGA的专用时钟引脚上,例如:

上图中的MRCC,以及SRCC,可以供时钟引脚使用。

由此看来,出现这样的原因是电路设计的锅?

但是呢?我们也不能抱怨,问题并不是说我们做FPGA设计的束手无策,这样的设计,也许是无心的,但是有时候也存在这样情况,例如时钟比较多,时钟专用引脚不够,怎么办呢?

只能用普通IO来接时钟,我们如何在FPGA设计中解决这个问题?

其实仔细看人家提示的错误就好了,人家说了,你可以通过加约束解决这个问题:

如:

[Place 30-172] Sub-optimal placement for a clock-capable IO pin and PLL pair. If this sub optimal condition is acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint in the .xdc file to demote this message to a WARNING. However, the use of this override is highly discouraged. These examples can be used directly in the .xdc file to override this clock rule.
< set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets i_pl/i_pll/i_mea_lx_pll_wrp/iclk2_ibufg] >

把这条提示加入XDC约束文件里面即可,原理就是绕过PAR的检查,但是没有解决根本问题。


最后给出参考链接:

Xilinx FPGA的专用时钟引脚及时钟资源相关

Sub-optimal placement for a clock-capable IO pin and PLL pair

2015.4 Vivado Implementation : ERROR: [Place 30-675] Sub-optimal placement for a global clock-capable IO pin and BUFG pair.

[Place 30-172] Sub-optimal placement for a clock-capable IO pin and PLL pair

FPGA的LVDS电平以及LVDS25电平能在HR Bank上使用吗?

遇见BUG(1):都是非时钟专用引脚惹的祸?相关推荐

  1. 系统崩溃:都是紫光拼音惹得祸

    今天用户提交了一个测试问题,在使用我们的业务模块 ' 办理托运 ' 的时候,执行保存操作的时界面突然退出,而且每次执行同样的操作时都这样,我们的项目是RCP架构,运行在JVM1.6  10.0 ,但是 ...

  2. 都是服务器多IP惹的祸

    1      背景 ElasticSearch服务器运行好好的,突然有一天,同事1的电脑报500的错误,说是找不到ES服务器,导致Tomcat启动失败,但是在我的电脑上又能成功的运行,连接ES成功.此 ...

  3. 都是虚拟串口软件惹的祸

    调试了半天,原来是虚拟串口软件的限制,最大只能发送1024个字节的数据,换了个虚拟串口软件,问题解决!

  4. 最网最全bug定位套路,遇见bug再也不慌了

    前言 "不积跬步,无以至千里:不积小流,无以成江海." 想成为编程大佬也一样,不经过海量的bug.各种复杂的系统问题的处理经验,那么始终是纸上谈兵的小菜鸟. 那么如何做到遇到bug ...

  5. 用计算机打出刚好遇见你,【计算机·故事】刚好遇见你 余生都是你

    原标题:[计算机·故事]刚好遇见你 余生都是你 Make A Decision 为摆脱 刚好遇见你 余生都是你 01 空白 曾经小鹿乱撞过,现在小鹿撞死了. 之前发过一条段子-- 我曾经也小鹿乱撞过, ...

  6. [转载]你遇见的事都是因你而生,你所遇见的人都是为你而来(自赵星)

    从5月10日开始,发生了很多很多事,十天在台的新书宣传,外公病危,紧急返回,外公病逝,上班,正负面媒体采访不断,搬家,星光计划结业典礼--这一系列犹如一场战争,叮铃桄榔的打了过来,好事坏事连环交错的混 ...

  7. 都是“工作惯性”惹的祸

    都是"工作惯性"惹的祸 原定于2008年11月8日.9日举行的2008年下半年全国计算机技术与软件专业技术资格(水平)考试,因试卷在威海市转运过程中发生丢失,被迫推迟举行. 威海, ...

  8. 顺丰不顺、京东动荡,都是物流基因惹的祸?

    最近,顺丰和京东频频水逆. 3月16日顺丰发布了2018年财报,2018年顺丰实现营业收入909.43亿元,同比增长27.6%:归母公司净利润45.56亿元,同比下降4.5%:扣非净利润34.84亿元 ...

  9. 史上最强蝴蝶效应 - 都是道士惹的祸

    假如当时丘处机没有路过牛家村. 那么,秘密跟踪他的那些金兵就不会死在郭,杨二人的院子里,同样,完颜洪烈也不会见到包惜弱而对她念念不忘. 那些金兵不会死在丘处机手里, 而郭,杨两家以后不会受到牵连. 郭 ...

最新文章

  1. 代码解释n |= n >>> 16
  2. php 7 pcntl扩展,PHP_Linux系统中为php添加pcntl扩展,pcntl扩展可以支持php的多线程 - phpStudy...
  3. html怎么查看两个块的距离,两个东西之间的距离怎么控制
  4. android studio不能更新代码,解决Android Studio 和 Android SDK Manager 无法在线更新的问题....
  5. 中国大学慕课计算机专业导论,2015秋计算机专业导论(大连大学)
  6. 一文彻底搞懂Cookie、Session、Token到底是什么
  7. 哥德巴赫猜想(信息学奥赛一本通-T1157)
  8. python编程字符输入连接_python字符串连接的N种方式总结
  9. 不出现php version网页_谈谈 PHP 的自动加载机制与 Laravel 中的具体实现
  10. c语言人物游戏代码大全,(c语言)游戏中由人物各种信息组成的结构体
  11. 小米路由器挂php,小米路由器mini 安装openWrt+更新源+挂载U盘+安装python
  12. 苹果笔记本下载视频剪辑软件pr cc 2019 for mac
  13. cad审图软件lisp_cad审图什么软件好 创基出图助手教程(附下载)
  14. 学习c语言,踏上新征程
  15. Spark 练习之疫情分析
  16. 2021CCPC网络预选赛
  17. 怎样给CSDN博客添加微信二维码
  18. 通过百度语音生成音频文件
  19. Linux中Kill进程的N种方法
  20. 匮乏即是富足,自律产生喜悦_当惊喜与喜悦分开时

热门文章

  1. MySQL性能优化的21条最佳经验
  2. linq2db.mysql_Linq to SQlite简单示例(linq2db版)
  3. 树莓派人脸识别门禁opencv4.2_树莓派人脸识别实际应用:人脸识别门禁
  4. php auth_http,php auth_http类库进行身份效验
  5. win8计算机管理打不开,win8,资源管理器未响应,文件夹打不开,假死……
  6. java 快速入门_Java快速入门
  7. python pandas筛选数据_Python pandas从字符串列的数据选择中筛选出nan
  8. html游戏键盘控制,键盘控制方块移动.html
  9. 几款高压、高阻电阻测试
  10. 智能车竞赛计时系统感应线圈放在节能充电线圈上输出电压会多大?