使用Eclipse导入Maven项目失败,提示:

An internal error occurred during: "Importing Maven projects".
Unsupported IClasspathEntry kind=4

修复这个问题须要做两件事

1、保证m2e插件的版本号高于 1.1.0。假设没有则到网站升级 : https://repository.sonatype.org/content/repositories/forge-sites/m2e/1.1.0/N/LATEST/

2、去掉项目的maven特性,右键菜单就能去除,然后运行 mvn eclipse:clean。而且又一次激活 maven 特性

假设还解决不了问题。能够尝试例如以下方法(本人的问题经过如上方法解决,所以没有尝试例如以下想法。请慎重操作,最好先做好备份),就是删除项目中的下面目录及文件。又一次导入就可以。

删除的文件及目录:

.settings
.project
.classpath
.springbean(假设有的话)

參考链接:
http://blog.csdn.net/kongqz/article/details/7770765
http://stackoverflow.com

转载于:https://www.cnblogs.com/yutingliuyl/p/6991438.html

Eclipse导入Maven项目出现错误:Unsupported IClasspathEntry kind=4相关推荐

  1. 【报错笔记】Eclipse导入Maven项目时pom.xml报错,项目上有红感叹号。

    Eclipse导入Maven项目时pom.xml报错,项目上有红感叹号. 错误原因: pom.xml中依赖的jar包在本地仓库中不存在,但是在maven/conf/settings.xml中定义了阿里 ...

  2. Eclipse导入Maven项目解决Build Path不能配置问题

    Eclipse导入Maven项目解决Build Path不能配置问题 参考文章: (1)Eclipse导入Maven项目解决Build Path不能配置问题 (2)https://www.cnblog ...

  3. eclipse怎么导入maven项目 eclipse导入maven项目详细教程

    转自:http://www.pc6.com/infoview/Article_114542.html Eclipse怎么导入maven项目一直是困扰着大量程序猿和刚上手小白们的问题,使用eclipse ...

  4. eclipse导入maven项目

    1.eclipse导入maven项目 File->Import

  5. Eclipse导入maven项目报Resources文件夹红叉问题解决方案

    Eclipse导入maven项目报Resources文件夹红叉问题解决方案 参考文章: (1)Eclipse导入maven项目报Resources文件夹红叉问题解决方案 (2)https://www. ...

  6. eclipse 导入maven项目_手把手的Spring Boot Web 项目教程,Hello Spring Boot

    在开始之前,我们需要去创建一个SpringBoot项目,大家可以去 http://start.spring.io/ 这个网站生成一个项目. 如图,这边可以对SpringBoot项目进行详细设置: 下面 ...

  7. eclipse导入maven项目时报Could not calculate build plan: Plugin org.apache.maven.plugins:maven-resources...

    本文转载自:http://blog.csdn.net/gdutacma2011149/article/details/50993257 在用Eclipse IDE for Java EE Develo ...

  8. Eclipse 导入maven项目报 Unknown Faceted Project Problem (Java Version Mismatch) 问题解决

    maven项目报 Unknown Faceted Project Problem (Java Version Mismatch) 错,如图 解决办法 找到项目.settings文件夹下的org.ecl ...

  9. eclipse 导入maven项目_解决Eclipse导入JAVA WEB项目错误的问题

    蓝字关注 远浩老师 一. 本文问题 在开发中不可避免地要涉及导入项目.Eclipse作为常用开发工具,导入JAVA WEB项目文件也很方便,但是我们经常发现导入完的项目有红色的叉来做错误提醒,甚至一些 ...

最新文章

  1. pci数据捕获和信号处理 感叹号_大学毕业设计一席谈之十五 扩频信号的捕获 (1)...
  2. Fire uva 11624
  3. Ubuntu 下安装Beyond Compare 安装解决方案
  4. 微软发布XAML Studio工具:快速构建UWP XAML原型
  5. 有哪些编辑软件可以编辑c语言,可以推荐一个手机上最好用且免费的c语言编辑器吗?...
  6. 实测java 与php运行速度比较
  7. HTTP的长连接和短连接
  8. 浙江大学最美学习笔记赏析!我太吃惊了
  9. python之argmax
  10. 用户态处理arp、ndisc neighbour solication 报文
  11. JavaScript 中级篇:模块化编程
  12. QQ登录和分享(精简版)
  13. c语言编写生日祝福语大全,生日祝福语(精选50句)
  14. 项目需求分析答辩总结(含评审结果)——日不落战队
  15. u盘中毒文件被隐藏恢复方法
  16. 文件的元数据信息的含义及查看和修改
  17. 腾讯大王卡免费申请工具 申请入口_一键申请 低价流量卡办理入口
  18. 苹果用户当心 犯罪分子网购海外邮箱专偷苹果ID
  19. 字符串_字符串的复制
  20. Liferay7开发系列(一)介绍 liferay版本:7.1.2

热门文章

  1. Python SQLite 用法
  2. Python与C语言基础对比(Python快速入门)
  3. LeetCode 176. Second Highest Salary--Database--数据库题目
  4. redis和mysql内存数据库性能_Redis高性能内存数据库
  5. yum安装nginx
  6. ACM寒假训练第一周总结
  7. 硬盘运行微型linux,linux用smartctl看硬盘运行了多少小时
  8. python中最常用的映射类型_什么是python中唯一的映射类型
  9. iview 表单 验证_iview必备技能一、表单验证规则
  10. 白盒测试工具_别再头疼工作效率低!这些超实用的黑盒、白盒测试方法你都用上了吗?...