引子:

HP中的DDR需要sys_clk和clk_ref两路输入,HR用户功能也需要usr_clk时钟输入。

但是HR资源IO被完全占用,HP中只有bank33的MRCC/SRCC可以作为fpga的时钟输入。以及为了尽量减少差分晶振的数量,需要合理利用内部时钟资源。

一、先弄清楚DDR_controller的clk需求

调用MIG IP,选择DDR3 32位宽4GB。

System Clock给DDR控制器逻辑和接口提供时钟;Reference Clock单独给Delay单元提供时钟,用来调整DDR信号时序。

Reference Clock:先来简单的,ref_clk给个固定的200MHz输入就可以了,可以认为跟ddr_controller这块是功能分开的。

You need to always supply a 200 MHz ref_clk and then MIG creates the appropriate IDELAYCTRL frequency with an additional MMCM.

Input Clock Period:片子差分晶振频率,作为PLL模块的CLKIN。该PLL的VCO频率为800MHz(待确认)。

另外,这个模块能出5路 Additional Clock 给其他Fabric用,能否给user的logic?(待确认)

driven from an internal clock (No Buffer).

只有Input Clock Period是外挂200mhz时,Reference Clock才能选择Use System Clock。

建议两者都选 No Buffer,使用内部时钟。

Internal Vref 能释放 IO_0_VRN / IO_25_VRP 两个管脚,这里为什么不能勾选?(待确认)

DDR颗粒选型:Micron MT41K1G8SN-107

二、内部时钟如何分配

7系内部分成了许多个clock region,每个region是50个CLB和IOB合集,且每个region内部有12个global clock domain,这些domain又能被级别最高的32个global clock buffer驱动。

而这些BUFG又能被CCIO直接驱动,即MRCC/SRCC时钟输入引脚。

输入clk_in外接200Mhz晶振,经过clocking wizard输出三路时钟,分别给usr_clk、sys_clk、clk_ref

参考1:ug586_7Series_MIS

参考2:ug472_7Series_Clocking

7a系列mrcc xilinx_【FPGA】Xilinx-7系的时钟资源与DDR3配置相关推荐

  1. 7a系列mrcc xilinx_Xilinx 7 Series FPGA!型号列表

    Xilinx 7 Series FPGA 型号列表 Supported Device(1),(2) Core Version Signaling Environment Virtex-5 XC5VFX ...

  2. 7a系列mrcc xilinx_artix-7A200T的输入时钟(50M)管脚接到MRCC的N端了,怎么解决?

    @li 你好, 我认为这样应该是不行的,请参考下面这个帖子,一个类似的问题: ------------------------------------------------------------- ...

  3. Xilinx FPGA全局时钟和第二全局时钟资源的使用方法

    目前,大型设计一般推荐使用同步时序电路.同步时序电路基于时钟触发沿设计,对时钟的周期.占空比.延时和抖动提出了更高的要求.为了满足同步时序设计的要求,一般在FPGA设计中采用全局时钟资源驱动设计的主时 ...

  4. bufg和bufgp_Xilinx FPGA全局时钟和第二全局时钟资源的使用方法(转)

    "全局时钟和第二全局时钟资源"是FPGA同步设计的一个重要概念.合理利 用该资源可以改善设计的综合和实现效果:如果使用不当,不但会影响设计 的工作频率和稳定性等,甚至会导致设计的综 ...

  5. Xilinx 7系FPGA总览

    本文转载自:Xinlinx 7系列FPGA概览 转载说明:关于7系列FPGA的认识,这篇文章写的还是比较好的,虽说数据手册上都有,但是不是每个人都有功夫去看的,这样看起来,这篇博文就很有意义,对7系列 ...

  6. Xilinx 7系列FPGA中CLB结构和内部资源使用

    Xilinx 7系列FPGA中CLB结构和内部资源使用 7系列FPGA内部资源更加的更富,性能更强悍,最吸引人的就是28nm工艺使得相同的逻辑资源功耗降低50%,这是一个很大的提升,在单板硬件上仅仅降 ...

  7. FPGA Xilinx 7系列高速收发器GTX通信

    Xilinx 7系列高速收发器GTX 说明: FPGA: TX端_zynq(7z035) RX端_zynq(7z100). 两个FPGA通过SFP(光纤)接口相连进行GTX的通信. 环境:Vivado ...

  8. 7系列mrcc xilinx_XILINX 7系列FPGA_时钟篇

    目录一览 0.转载说明 1.时钟结构简介 2.时钟区域简介 3.时钟操作法则 4.CMT简介 0.转载说明 FPGA开发,不知道所使用组件的特性,怎能开发出优秀的设计呢!!! 老早就想整理7系列FPG ...

  9. Xilinx FPGA的专用时钟引脚及时钟资源相关

    主要参考了https://www.eefocus.com/liu1teng/blog/12-02/237897_4533d.html .Xilinx UG471.UG472以及Xilinx Forum ...

最新文章

  1. iOS:NSBundle的具体介绍
  2. 《大数据算法》一1.2 大数据算法
  3. boost::unique_copy相关的测试程序
  4. boost::movelib::default_delete相关用法的测试程序
  5. 自定义应用程序配置文件(app.config)
  6. 历史上的甲子年灾难有哪些?
  7. Scala 入门学习
  8. Uva(10158)
  9. 控件必须放在具有 runat=server 的窗体标记内 错误解决解决方法
  10. 1042 cant get hostname for your address
  11. 【power designer】使用power designer编辑pdm物理模型图时,为字段添加中文备注
  12. 混合选择集的坐标提起lisp_晓东CAD家园-论坛-A/VLISP-[LISP程序]:请教如何对选择集进行排序-我有(setq ss(ssget _w p0 p1 (list (0 . CIRC...
  13. 2014恒生电子笔试题
  14. Windows查看所有共享
  15. Installing vipm-17.0.2018-linux
  16. Java调用微信支付代码
  17. 软件测试作业1 令我印象深刻的bug
  18. 使用Beautiful Soup和lxml轻松搞掂网页数据爬取
  19. 大数据学习笔记之一:Hadoop 常用指令集合与启动注意项
  20. 【SQLite关系型数据库】SQLite语法

热门文章

  1. vs+命令行运行带参数cpp文件
  2. oracle11g dataguard windows,Oracle11g 搭建DataGuard(笔记)
  3. BeautfuiSoup4解析器
  4. boost::noncopyable介绍
  5. python运算符和表达式
  6. 【Python】《大话设计模式》Python版代码实现
  7. ExtJS4.2学习(三)Grid表格(转)
  8. Socket经验记录
  9. 手机号中间四位处理为‘****’
  10. Axure RP Extension for Chrome安装