1、ERROR:Pack:2530 - The dual data rate register "U_sys_ctl/ODDR2_inst_2" failed to
   join an OLOGIC component as required.

错误:

输入时钟50M。PLL出一个228M的clk1和一个148.5M的clk2,clk1对外输出使用,clk2内部信号发生器使用,内部使用的不需要加ODDR2_inst,

解决方案:

去掉ODDR2_inst部分

知识点扩展:.

  • 外部管脚输出时,PLL  -> BUFG -> ODDR -> PIN,当MAP报错时用 PLL  -> BUFG -> ODDR -> OBUFG(IOBUFG) -> PIN
  • 内部使用时,PLL -> BUFG->内部使用

2、NgdBuild:770 - IBUFG 'ycbcr28lvds_inst/lvds_tx_1/ibufg_clk_inst' and BUFG 'U_sys_ctl/pll_ip_inst/clkout3_buf' on net 'CLK_297M_OUT' are lined up in series. Buffers of the same direction cannot be placed in series.

错误:

BUFG输出时钟送给了IBUFG,相同方向的缓冲不能串行放置

解决方法:

注释掉IP核的IBUFG,

然后在UCF文件中添加约束;

< PIN "U_sys_ctl/pll_ip_inst/clkout1_buf.O" CLOCK_DEDICATED_ROUTE = FALSE; >

知识点扩展:

IBUFG即输入全局缓冲,是与专用全局时钟输入管脚相连接的首级全局缓冲。所有从全局时钟管脚输入的信号必须经过IBUFG单元,否则在布局布线时会报错。 IBUFGDS是IBUFG的差分形式,当信号从一对差分全局时钟管脚输入时,必须使用IBUFGDS作为全局时钟输入缓冲。

BUFG是全局缓冲,它的输入是IBUFG的输出,BUFG的输出到达FPGA内部的IOB、CLB、选择性块RAM的时钟延迟和抖动最小。BUFG连接的是芯片中的专用时钟资源,目的是减少信号的传输延时,提高驱动能力,对于时序电路中的关键时钟信号,这是非常重要的,关系到系统设计的成功与否。如果内部产生的时钟,只在局部模块使用,可以考虑不使用BUFG。一个BUFG只能驱动一个时钟。

3、Place:1318 - User has over-constrained component
   ycbcr28lvds_inst/lvds_tx_1/bufio2_inv_inst. There are no placeable sites that
   satisfy the user constraints. Please review the user constraints on the
   driver component and the load components of
   ycbcr28lvds_inst/lvds_tx_1/bufio2_inv_inst.
Phase 4.2  Initial Placement for Architecture Specific Features

解决方法:

BUFPLL needs to have all of its IOB loads placed into the same I/O bank. This error occurs if the user-specified LOC constraints violate this rule.

To resolve the error, make sure all the IOB loads of the BUFPLL instance are locked to the same bank.

可以看出BUFIO2 驱动ODDR2,一个BUFIO2驱动所有的8个ISERDES2,而看原理图可知这8个ISERDES2并不在半个bank上,需要两个BUFIO2去分组驱动不同半BANK上的ISERDES2

所以可以通过创建两个LVDS发送核进行发送,

4、There are no placeable sites that satisfy the user constraints.

报警信息:Place:1318 - User has over-constrained component  ycbcr28lvds_inst/lvds_tx_1/bufio2_inst. There are no placeable sites that satisfy the user constraints. Please review the user constraints on the driver component and the load components of ycbcr28lvds_inst/lvds_tx_1/bufio2_inst.

解决方法:

BUFPLL needs to have all of its IOB loads placed into the same I/O bank. This error occurs if the user-specified LOC constraints violate this rule.

To resolve the error, make sure all the IOB loads of the BUFPLL instance are locked to the same bank.

ISE 14.7 调试错误笔记相关推荐

  1. 1024之牛客之错误笔记+uart/IIC/232/485/SPI总结

    目录 牛客之错误笔记: uart/IIC/232/485/SPI总结: 牛客之错误笔记: [1.转义字符    \"                 %%     "是用\转义 % ...

  2. 软件调试学习笔记(五)—— 软件断点内存断点

    软件调试学习笔记(五)-- 软件断点&内存断点 调试的本质 软件断点 软件断点的执行流程 分析INT 3执行流程 实验:处理软件断点 内存断点 内存断点的执行流程 实验:处理内存断点 调试的本 ...

  3. Linux环境下安装Xilinx ISE 14.6

    1.从官网下载ISE 到官网注册,然后下载linux版本的ISE14.7. 链接:http://www.xilinx.com/support/download/index.html/content/x ...

  4. Udacity调试课笔记之简化的哲学思考

    Udacity调试课笔记之简化的哲学思考 干货无几,水文续之. 嗯,作为一个完全没有哲学概念的人来说,写这么一篇笔记,那绝对比水文还水了. 接上一回简化失败,我们用Delta调试法从大堆沙子输入中淘到 ...

  5. ISE 14.7 安装教程及详细说明

    本系列将带来FPGA的系统性学习,从最基本的数字电路基础开始,最详细操作步骤,最直白的言语描述,手把手的"傻瓜式"讲解,让电子.信息.通信类专业学生.初入职场小白及打算进阶提升的职 ...

  6. Xilinx ISE 14.7与Modelsim10.1a联合仿真

    分别安装Xilinx ISE 14.7与Modelsim10.1a Modelsim10.1a下载地址:https://pan.baidu.com/share/link?shareid=72194&a ...

  7. ISE include 头文件错误的解决办法

    在ISE 14.7里面,如果hdl的头文件和verilog文件不在同一个文件夹下,直接添加文件就会i包含失败,而且无法实现综合.错误代码是  ERROR:HDLCompiler:281 :ERROR: ...

  8. ISE 14.7安装教程最新版(Win10安装)——解决Win10安装完后打不开快捷方式的方法

    ISE 14.7安装教程最新版(Win10安装)--解决Win10安装完后打不开快捷方式的方法 参考文章: (1)ISE 14.7安装教程最新版(Win10安装)--解决Win10安装完后打不开快捷方 ...

  9. 软件调试学习笔记(七)—— 单步步入单步步过

    软件调试学习笔记(七)-- 单步步入&单步步过 单步步入 设置单步异常 处理单步异常 实验1:单步异常的设置与处理 单步步过 实现思路 实验2:实现单步步过 单步步入 描述: 单步步入的实现依 ...

最新文章

  1. python判断质数的函数并输出_【python基础】|| 用python判断输入的数是否为素数
  2. Cookie和Session的区别
  3. WebApi的安全性及其解决方案
  4. STM32的IIC应用详解3
  5. android左侧固定导航栏,Android使用fragment实现左侧导航
  6. 服务器文件协议,文件服务器协议
  7. MySQL存储引擎的选择
  8. [css] 要是position跟display、overflow、float这些特性相互叠加后会怎么样?
  9. 50种不同编程语言的“Hello, World”,你会写几种?
  10. python垃圾分类图像识别算法_用算法帮上海大妈垃圾分类,扔错罚款!长点心吧你...
  11. tomcat监听activemq jms配置
  12. VC++ MFC 经典教程 – 基础篇
  13. java递归算法的实例最细讲解
  14. 点击换图 秀米的svg_能换色的.svg图片怎么用?看这篇就够了!
  15. 2021-07-23
  16. github fork PR 的简单使用
  17. 深度学习笔记(四十一)循环神经网络及正反向传播
  18. #python 自动识别视频字幕
  19. Go语言IDE GoLand的BUG
  20. MOSFET及MOSFET驱动电路总结

热门文章

  1. 生物识别最新进展:动态密码语音无监督身份认证系统通过科技成果鉴定
  2. Google创始人公开信:AI暖春和黑暗面
  3. 服务端主动推送数据,除了 WebSocket 你还能想到啥?
  4. 翻译翻译:什么叫架构?
  5. 为什么不建议在 MySQL 中使用 UTF-8?
  6. 什么是集群?什么又是负载均衡?你说得清楚吗?
  7. 你向 Mysql 数据库插入 100w 条数据用了多久?
  8. 一起学设计模式 - 观察者模式
  9. Datawhale学习的常见问题解答!
  10. 数据科学干货分享来了!