寄存器数据类型

Verilog中规定,凡是在程序块中被赋值的变量,都必须是寄存器类型的。(程序块:例如always块)

这里未免还是会让人产生疑惑?寄存器数据类型的变量最后一定会被综合成寄存器吗?

对应于实际的数字电路中,如果该程序块描述的是时序逻辑,则该寄存器变量对应为寄存器;如果该程序块描述的是组合逻辑,该寄存器变量对应为硬件逻辑;如果该程序块描述的是不完全组合逻辑,那么该寄存器变量也可以对应为锁存器。由此可见,寄存器类型的变量不一定会综合为寄存器。

线网数据类型

Verilog中规定,模块的input和inout端口必须是线网类型;连续赋值语句的被赋值对象必须是线网类型。对应于实际的数字电路,线网类型实际上就对应着硬件的连线,起到连接作用。

线网数据类型包括wire和tri等,wire最常见,不必多说,很多情况下直接声明为wire即可。

至于tri其实和wire在用法上是一模一样的,不过有时候,我们需要定义一些会被三态门驱动的硬件连线,用tri来命名会让代码更具有可读性,让人一看就知道这根连线上会出现Z状态,仅此而已!

至于其他的一些古怪的类型,用到了在总结吧,现在写了用不到也是废了!笔记内容来自于《FPGA之道》

【 Verilog HDL 】寄存器数据类型(reg)与线网数据类型(wire,tri)相关推荐

  1. (12)Verilog HDL变量:reg型

    (12)Verilog HDL变量:reg型 1.1 目录 1)目录 2)FPGA简介 3)Verilog HDL简介 4)Verilog HDL变量:reg型 5)结语 1.2 FPGA简介 FPG ...

  2. Verilog HDL 语法整理 (一)

    目录 导读 一.模块结构 1.模块的端口定义 2.模块内容 二.数据类型 1.常量 2.参量 3.变量 1.寄存器数据类型 2.线网型数据类型 参考声明 导读 本篇博文主要介绍Verilog HDL ...

  3. Verilog HDL简明教程

    Verilog HDL简明教程 来源: 郭红卫的日志 Verilog HDL简明教程 Verilog HDL是一种硬件描述语言,用于从算法级.门级到开关级的多种抽象设计层次的数字系统建模.被建模的数字 ...

  4. Verilog HDL 总结(1)

    Verilog HDL 复习总结 1. Verilog 语法的基础概念 1.1 Verilog模块的基本概念 1.2 Verilog用于模块的测试 2. Verilog的基本语法 2.1 模块的结构 ...

  5. Verilog中寄存器和储存器的建模

    寄存器 寄存器是数据储存单元的抽象.寄存器数据类型的关键字是reg.通过赋值语句可以改变寄存器储存的值,其作用与改变触发器储存的值相当.reg类型数据的缺省初始值为不定值:x.寄存器型变量只能在ini ...

  6. FPGA学习笔记---Verilog HDL 可综合语句和不可综合语句汇总

    作为HDL语言,有两种基本的用途:系统仿真和设计实现.所有的HDL描述都可用于仿真,但并非所有的HDL描述都可综合. 一般综合工具支持的Verilog HDL结构 Verilog HDL结构 可综合性 ...

  7. Verilog HDL基础知识---之数据类型

    Verilog HDL基础知识之数据类型 数据类型 物理数据类型 连线型 寄存器型 连线型和寄存器型数据类型的声明 存储器型 抽象数据类型 整型 时间型 实型 参数型 kkkk我来啦 , 接上回写 数 ...

  8. 五分钟快速过完Verilog HDL基本概念(5)数据类型

    数据类型 数据类型是用来表示数字电路中的数据存储和传送单元. Verilog HDL中共有19种数据类型:其中4个最基本的数据类型为: integer型 parameter型 reg型 wire型 其 ...

  9. 以verilog语言 inout类型赋值 为例深入理解wire线网数据类型

    inout类型的作用 inout 类型常出现于芯片外部引脚,为的是减少管腿个数,一个端口同时做输入和输出. 如32位半导体随机读写寄存器RAM,若分别使用input output,则仅数据管脚就有64 ...

最新文章

  1. FreeBSD下安装配置Hadoop集群(三)
  2. 条码管理系统mysql_银行通用固定资产条码管理系统
  3. 用python做频数分析_使用Python进行描述性统计
  4. python 去掉tab_如何截掉空格(包括tab)
  5. 项目管理-软件开发模式对比(瀑布、迭代、螺旋、敏捷)
  6. 使用resnet, inception3进行fine-tune出现训练集准确率很高但验证集很低的问题
  7. linux+shell+整数计算,Shell expr命令进行整数计算的实现
  8. Picasso(毕加索)加载圆形图片、圆角图片
  9. Java实现UDP功能
  10. 【js特效】一款不错的flash视频播放器
  11. 服务器pe安装win7系统安装教程,win7pe装系统步骤详解
  12. Yearning做SQL审核
  13. formula 返回list_Formula element (List)
  14. Unity3D 绑定机械传动 插件包
  15. Dict添加元素 - Python
  16. origin 作图时 下面出现一条Y=0直线怎么去掉
  17. 概率论与数理统计:随机变量、分布律,分布函数,密度函数
  18. linux ps -ef和ps -aux,关于Linux中ps -aux的深入理解
  19. ArcGis For JavaScript API Drawing Tool (绘图工具又称框选地图)
  20. 新服务器如何装系统,新服务器怎么装系统

热门文章

  1. QQ web api
  2. NetBeans 时事通讯(刊号 # 146 - May 13, 2011)
  3. document对象详解
  4. html基础代码笔记,HTML基础笔记
  5. 关于input type=“text”文本框的 默认宽度
  6. bmp调色板颜色信息重复_PASCAL VOC数据集-分割标签索引颜色对照及程序
  7. Java设计模式(工厂模式>抽象工厂模式和原型模式)
  8. 都觉得FFT简单实用,可为什么还有人不断问问题呢?
  9. 损坏防浪涌电插排内部电路
  10. 如何利用 nbconvert将 IPYNB文档转换 Markdown文档?